自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(41)
  • 资源 (16)
  • 收藏
  • 关注

原创 PetaLinux 去除自动获取 IP 地址

(3) 依次选择 Subsystem AUTO Hardware Settings -> Ethernet Settings -> Obtain IP address automatically -> Enter "N"问题:系统启动的时候会自动检测 IP 地址,如不需要这个功能(该过程需耗时十几秒)。运行命令:source PetaLinux安装目录/settings.sh。(2) 运行命令:petalinux-config。运行命令:petalinux-build。(1) cd 到项目工程目录下;

2024-03-30 16:47:57 163

原创 AMBA总线简介

AMBA是由ARM公司研发推出的一种高级微控制器总线架构(Advanced Microcontroller Bus Architecture)。目前常见的片上总线规范有:ARM公司的AMBA、IBM公司的CoreConnect、Altera(Intel)公司的Avalon、Silicore公司的Wishbone,开源免费APB:是一种低功耗,低带宽配置总线,主要应用在在一些低带宽数据传输以及一些寄存器的配置中。

2023-08-31 19:42:38 222

原创 WARNING: [Vivado 12-13340] WARNING: [Vivado 12-13277]

仿真的时候遇问题:解决方法:知识扩展:

2023-04-22 18:20:02 999

原创 12 怎么从SVN下载项目工程文件

ASIC开发流程笔记

2023-03-01 19:22:16 1148

原创 11 项目的工程文件存在哪里

ASIC开发流程笔记

2023-03-01 19:20:58 202

原创 9 怎么登录VNC

ASIC开发流程笔记

2023-03-01 19:00:04 1988

原创 10 VNC为什么突然登录不上了

ASIC开发流程笔记

2023-03-01 18:59:30 1407

原创 8 怎么安装VNC软件

ASIC开发流程笔记

2023-03-01 18:53:38 550

原创 7 什么是VNC

ASIC开发流程笔记

2023-03-01 18:51:35 1241

原创 6 怎么登录SSH

ASIC开发流程笔记

2023-03-01 18:49:07 223

原创 5 怎么安装SSH软件

ASIC开发流程笔记

2023-03-01 18:47:25 130

原创 4 什么是SSH

ASIC开发流程笔记

2023-03-01 18:45:32 64

原创 3 为什么要分配端口号

ASIC开发流程笔记

2023-03-01 18:44:40 60

原创 2 为什么要分配用户名

ASIC开发流程笔记

2023-03-01 18:43:40 51

原创 1 该怎么上手

ASIC开发流程笔记

2023-03-01 14:45:43 59

原创 Petalinux系统使用串口修改开机IP地址方法

Petalinux系统使用串口修改开机IP地址方法

2023-02-25 11:35:51 464

原创 Linux下SVN命令批量上传文件和子文件夹

【代码】Linux下SVN命令批量上传文件和子文件夹。

2022-12-28 14:31:58 1621

原创 Xilinx 7 Series/UltraScale GTX/GTH动态速率配置

Xilinx transceiver动态修改速率计算工具

2022-12-02 23:10:18 831 7

转载 【无标题】码子、层、预编码、天线端口

码子、层、预编码、天线端口

2022-10-14 18:13:34 541

原创 高级抽象语言设计HDL

高级抽象语言设计HDL

2022-09-20 10:37:46 95

原创 Microblaze 的软件和bit 在Vitis 烧写flash

Microblaze 的软件和bit 在Vitis 烧写flash

2022-09-01 23:56:43 417

原创 Qt修改UI界面后不生效解决方法

Qt修改UI界面后不生效解决方法

2022-08-29 23:40:10 3518 2

原创 zynq开发板开机自动执行程序/命令

zynq开发板开机自动执行程序/命令

2022-08-28 23:12:20 305

原创 vivado sdk编译linux应用程序线程库设置

vivado sdk编译linux应用程序线程库设置

2022-08-28 21:14:24 612 1

原创 TimingGen绘波形图导入Viso步骤

TimingGen绘波形图导入Viso步骤

2022-08-25 10:16:03 415

原创 Petalinux定制自己的linux系统

使用Petalinux定制自己的linux系统学习链接

2022-08-15 15:43:01 110

转载 Petalinux:Yocto Settings设置使用local sstate,加速工程编译

2、将下载包解压至一个文件夹下,下载包内容包含以下几个文件夹:aarch64、arm、mb-full、mb-lite、downloads,前四个文件夹分别对应ZynqMP、Zynq、MB AXI full和MB AXI lite;例如:file:///media/ubuntu16.04/petalinux1801/sstate-rel-v2018.2/downloads。例如:/media/ubuntu16.04/petalinux1801/sstate-rel-v2018.2/aarch64。...

2022-08-15 15:25:52 633

原创 Petalinux 配置流程

使用 Petalinux 定制 Linux 系统

2022-08-14 15:54:44 267

原创 K7 FPGA使用外部时钟驱动Flash加载

K7 FPGA使用外部时钟驱动Flash加载

2022-06-24 23:10:48 3270 2

转载 Xilinx 7系列FPGA架构之器件配置

Xilinx 7系列FPGA架构之器件配置

2022-06-24 23:05:11 1711

转载 VIVADO使用技巧(1):DDR3设置DCI与内部参考电压

本文链接:Vivado使用技巧(12):设置DCI与内部参考电压_FPGADesigner的博客-CSDN博客_dci电压Xilinx FPGA提供了DCI(Digitally Controlled Impedance)技术,包括两个功能:(1).控制驱动器的输出阻抗;(2).为驱动器或发送器添加一个并行端接,在传输线上得到精确的特征阻抗匹配,以提高信号完整性。DCI会主动调整I/O bank内的阻抗,以调整放在VRN和VRP管脚之间的外部精准参考电阻,这样可以补偿由于工艺变化、温度变化和电源电压抖动引起的

2022-06-11 16:27:21 2159

原创 ASIC代码规范笔记(1)

ASIC代码规范笔记(1)

2022-06-10 18:15:38 173

原创 xilinx gig_Ethernet_pcs_pma接口mac和phy模式

mac模式和phy模式的区别在于自协商信息。mac模式发送固定自协商码0x4001;phy模式发送用户通过mdio接口或者an_adv_config_vector配置的自协商码,对端如果使用phy模式,这个配置信息和对端的配置一致才能link。自协商的状态机可以参考803.3 37.3.1.5,状态机中tx_config_reg是本地端口发送的,这个就是0x4001或者用户配置的(phy模式下)。mac模式自协商下交互过程,bc 42 00 00 bc b5 00 00,经过一段时间后发送 bc

2020-11-23 17:52:01 3716

原创 关于xilinx FPGA gtx和gth的区别

关于xilinx FPGA的gtx和gth区别 有次面试,面试官问xilinx gtx和gth的区别,懵了,我只说了一下速率不同????,其他也说不出了,在此记录一下gtx和gth的区别吧。参考ug476如下; ![在这里插入图片描述](https://img-blog.csdnimg.cn/20201105144403167.jpg?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9

2020-11-05 14:44:09 2814 2

原创 xilinx ku115上pciex1 的眼图

ku115 上测试pcie x1眼图

2020-08-25 09:39:01 744

原创 K7 325t调试xilinx aurora ip核关于帧模式和流模式

要做的是一个K7 325t上pcie 收发4通道光纤的数据测试程序,gtx使用的是aurora的协议。发送流程:pc主机通过DMA 发数据到ddr3中缓存,使用的是AXI Memory Map的方式,然后主机通过bar0的寄存器指令控制ddr3中的数据从某个地址发送一定长度到Gtx。接收流程:和发送相反在使用同事做的aurora的模块,fram模式,每次发送没有给last信号到ip核,...

2020-04-11 16:44:44 2912

原创 XDMA_与DDR3 一起使用的基础例程使用资源对比

XDMA_与DDR3 一起使用的基础例程使用资源对比:K7 70t

2020-04-01 18:12:41 1366

原创 吴鉴鹰谈一个单片机初学者的傲慢与偏见

1、学习单片机有用吗?      有很多初学者有这样的困惑,单片机初学者感觉入门很难,学着学着,就会产生这样的疑问——自己辛辛苦苦学习单片机,将来有用吗?      单片机只是一个工具,重要的还是思想,有了自己的想法,电子行业地域辽阔,随便你闯。单片机这个切入点入手还是不错的,可以让你尽快进入电子殿堂的大门,如果你还在上学,不要眼睛里面只盯着暂时的薪水,哪怕是毕业两三年的也一样。重要的是掌

2014-10-09 20:12:07 970

原创 VC++ MFC进度条

1.常用函数SetRange(0,100)设置范围;SetStep(int i)设置步长;StepIt();单步递增进度;int SetPos(int nPos) 设置当前位置,可以用来初始化;int GetPos()获得当前进度;2.设置控件Progress Control控件变量。3.     m_

2014-09-10 13:49:59 566

原创 cy7c68013的usb不能识别

最主要的是sda 和scl的要接上拉,3.3v输入给68013

2014-08-05 09:47:39 1247

wave generator tool

no

2022-04-28

北师18秋《计算机应用基础》在线作业考核答案.txt

北师18秋《计算机应用基础》在线作业考核答案 也是北师19春《计算机应用基础》在线作业考核答案 1、所有的十进制数都可以精确转换为二进制数 2、在Windows环境中,用户可以同时打开多个窗口,此时只能有一个窗口处于激活状态,它的标题栏颜色与众不同

2019-06-13

18秋《计算机应用基础》在线作业1答案.txt

18秋《计算机应用基础》在线作业1答案 北师大,也是19春的试题 1、十进制数255转换成二进制数是 2、PowerPoint放映过程中,启动屏幕画笔的方法是

2019-06-13

基于16倍过采样的FPGA串口解析

基于16倍过采样的串口解析代码,有说明文档,发送说明文档,接收说明文档,和串口协议分析。发送和接收文档有对工程代码的逐句注释解释。

2018-08-20

锁相技术 张厥盛

锁相技术 张厥盛版本,很不错的一本锁相环的书,我看到很多之类的书,后面的参考文献大都有此书。

2015-03-06

cy7c68013串口模块

CY7C68013串口例程,不用修改代码,添加到工程,可以直接使用。

2015-03-06

CY3014开发资料

论文讲述CY3014开发技术,最基础的固件框架,初始化顺序

2015-03-06

数字通信同步技术的MTALAB与FPGA实现》PPT版

ppt是书的辅助 书上目录 第1章 同步技术的概念及FPGA基础 1 1.1 数字通信中的同步技术 2 1.2 同步技术的实现方法 4 1.2.1 两种不同的实现原理 4 1.2.2 常用的工程实现途径 5 1.3 FPGA概念及其在信号处理中的应用 6 1.3.1 基本概念及发展历程 6 1.3.2 FPGA的结构和工作原理 8 1.3.3 FPGA在数字信号处理中的应用 14 1.4 Xilinx器件简介 15 1.4.1 Xilinx器件概况 15 1.4.2 Spartan系列器件 17 1.4.3 Virtex系列器件 18 1.5 设计语言及环境简介 19 1.5.1 VHDL语言 19 1.5.2 ISE环境及综合仿真工具 22 1.5.3 FPGA设计流程 28 1.5.4 MATLAB软件 31 1.5.5 MATLAB与ISE的数据交互 34 1.6 小结 35 第2章 FPGA实现数字信号处理基础 37 2.1 FPGA中数的表示 38 2.1.1 莱布尼兹与二进制 38 2.1.2 定点数表示 39 2.1.3 浮点数表示 40 2.2 FPGA中数的运算 43 2.2.1 加/减法运算 43 2.2.2 乘法运算 46 2.2.3 除法运算 48 2.2.4 有效数据位的计算 49 2.3 有限字长效应 51 2.3.1 字长效应的产生因素 51 2.3.2 A/D变换的字长效应 52 2.3.3 系统运算中的字长效应 53 2.4 FPGA中的常用处理模块 55 2.4.1 乘法器模块 55 2.4.2 除法器模块 60 2.4.3 浮点运算模块 62 2.4.4 滤波器模块 64 2.4.5 数字频率器模块 67 2.5 小结 68 第3章 锁相技术原理及应用 71 3.1 锁相环的工作原理 72 3.1.1 锁相环路的模型 72 3.1.2 锁定与跟踪的概念 73 3.1.3 环路的基本性能要求 74 3.2 锁相环的组成 75 3.2.1 鉴相器 75 3.2.2 环路滤波器 76 3.2.3 压控振荡器 77 3.3 锁相环路的动态方程 77 3.3.1 非线性相位模型 77 3.3.2 线性相位模型 79 3.3.3 环路的传递函数 80 3.4 锁相环路的性能分析 82 3.4.1 暂态信号响应 82 3.4.2 环路的频率响应 84 3.4.3 环路的稳定性 86 3.4.4 非线性跟踪性能 87 3.4.5 环路的捕获性能 89 3.4.6 环路的噪声性能 90 3.5 锁相环路的应用 92 3.5.1 环路的两种跟踪状态 92 3.5.2 调频解调器 93 3.5.3 调相解调器 94 3.5.4 调幅信号的相干解调 94 3.5.5 锁相调频器 95 3.5.6 锁相调相器 95 3.6 小结 96 第4章 载波同步的FPGA实现 97 4.1 载波同步的原理 98 4.1.1 载波同步的概念及实现方法 98 4.1.2 锁相环的工作方式 99 4.2 锁相环路的数字化模型 100 4.2.1 数字鉴相器 100 4.2.2 数字环路滤波器 101 4.2.3 数字控制振荡器 102 4.2.4 数字环路的动态方程 103 4.3 输入信号建模与仿真 104 4.3.1 工程实例需求 104 4.3.2 输入信号模型 105 4.3.3 输入信号的MATLAB仿真 107 4.4 载波同步环的参数设计 109 4.4.1 总体性能参数设计 110 4.4.2 数字鉴相器设计 111 4.4.3 环路滤波器及数控振荡器设计 114 4.5 载波同步环的FPGA实现 116 4.5.1 顶层模块的VHDL实现 116 4.5.2 IIR低通滤波器的VHDL实现 119 4.5.3 环路滤波器的VHDL实现 123 4.5.4 同步环路的FPGA实现 125 4.6 载波同步环的仿真测试 126 4.6.1 测试激励的VHDL设计 126 4.6.2 单载波输入信号的仿真测试 129 4.6.3 调幅波输入信号的仿真测试 133 4.6.4 关于载波环路参数的讨论 136 4.7 小结 138 第5章 抑制载波同步的FPGA实现 139 5.1 抑制载波同步的原理 140 5.1.1 平方环工作原理 140 5.1.2 同相正交环工作原理 141 5.1.3 判决反馈环工作原理 142 5.2 输入信号建模与仿真 144 5.2.1 工程实例需求 144 5.2.2 DPSK调制原理及信号特征 144 5.2.3 DPSK信号传输模型及仿真 145 5.3 平方环的FPGA实现 147 5.3.1 改进的平方环原理 147 5.3.2 环路性能参数设计 148 5.3.3 带通滤波器设计 149 5.3.4 顶层模块的VHDL实现 151 5.3.5 带通滤波器的VHDL实现 155 5.3.6 其他模块的VHDL实现 159 5.3.7 FPGA实现后的仿真测试 160 5.4 同相正交环的FPGA实现 162 5.4.1 环路性能参数设计 162 5.4.2 低通滤波器VHDL实现 163 5.4.3 其他模块的VHDL实现 165 5.4.4 顶层模块的VHDL实现 165 5.4.5 FPGA实现后的仿真测试 168 5.4.6 同相支路的判决及码型变换 169 5.5 判决反馈环的FPGA实现 171 5.5.1 环路性能参数设计 171 5.5.2 顶层模块的VHDL实现 172 5.5.3 积分判决模块的VHDL实现 176 5.5.4 FPGA实现后的仿真测试 178 5.6 小结 179 第6章 自动频率控制的FPGA实现 181 6.1 自动频率控制的概念 182 6.2 最大似然频偏估计的FPGA实现 183 6.2.1 最大似然频偏估计的原理 183 6.2.2 最大似然频偏估计的MATLAB仿真 185 6.2.3 频偏估计的FPGA实现方法 187 6.2.4 CORDIC核的使用 189 6.2.5 顶层文件的VHDL实现 192 6.2.6 频偏估计模块的VHDL实现 195 6.2.7 FPGA实现及仿真测试 198 6.3 基于FFT载频估计的FPGA实现 200 6.3.1 离散傅里叶变换 200 6.3.2 FFT算法原理及MATLAB仿真 202 6.3.3 FFT核的使用 204 6.3.4 输入信号建模与MATLAB仿真 207 6.3.5 基于FFT载频估计的VHDL实现 208 6.3.6 FPGA实现及仿真测试 211 6.4 FSK信号调制解调原理 212 6.4.1 数字频率调制 213 6.4.2 FSK信号的MATLAB仿真 214 6.4.3 FSK相干解调原理 217 6.4.4 AFC环解调FSK信号的原理 218 6.5 AFC环的FPGA实现 220 6.5.1 环路参数设计 220 6.5.2 顶层模块的VHDL实现 222 6.5.3 鉴频器模块的VHDL实现 225 6.5.4 FPGA实现及仿真测试 226 6.6 小结 227 第7章 位同步技术的FPGA实现 229 7.1 位同步的概念及实现方法 230 7.1.1 位同步的概念 230 7.1.2 滤波法提取位同步 231 7.1.3 数字锁相环位同步法 232 7.2 微分型位同步的FPGA实现 234 7.2.1 微分型位同步的原理 234 7.2.2 顶层模块的VHDL实现 235 7.2.3 双相时钟信号的VHDL实现 238 7.2.4 微分鉴相模块的VHDL实现 240 7.2.5 单稳触发器的VHDL实现 241 7.2.6 控制及分频模块的VHDL实现 243 7.2.7 位同步形成及移相模块的VHDL实现 244 7.2.8 FPGA实现及仿真测试 246 7.3 积分型位同步的FPGA实现 248 7.3.1 积分型位同步的原理 248 7.3.2 顶层模块的VHDL实现 250 7.3.3 积分模块的VHDL实现 254 7.3.4 鉴相模块的VHDL实现 255 7.3.5 FPGA实现及仿真测试 256 7.4 改进位同步技术的FPGA实现 258 7.4.1 正交支路积分输出门限判决法 258 7.4.2 数字式滤波器法的工作原理 260 7.4.3 随机徘徊滤波器的VHDL实现 260 7.4.4 随机徘徊滤波器的仿真测试 262 7.4.5 改进的数字滤波器工作原理 263 7.4.6 改进滤波器的VHDL实现 264 7.5 小结 266 第8章 帧同步技术的FPGA实现 267 8.1 异步传输与同步传输的概念 268 8.1.1 异步传输的概念 268 8.1.2 同步传输的概念 269 8.1.3 异步传输与同步传输的区别 269 8.2 起止式同步的FPGA实现 270 8.2.1 RS-232串口通信协议 270 8.2.2 顶层模块的VHDL实现 272 8.2.3 时钟模块的VHDL实现 274 8.2.4 数据接收模块的VHDL实现 276 8.2.5 数据发送模块的VHDL实现 278 8.2.6 FPGA实现及仿真测试 280 8.3 帧同步码组及其检测原理 283 8.3.1 帧同步码组的选择 283 8.3.2 间隔式插入法的检测原理 284 8.3.3 连贯式插入法的检测原理 285 8.3.4 帧同步的几种状态 286 8.4 连贯式插入法帧同步的FPGA实现 287 8.4.1 实例要求及总体模块设计 287 8.4.2 搜索模块的VHDL实现及仿真 290 8.4.3 校核模块的VHDL实现及仿真 293 8.4.4 同步模块的VHDL实现及仿真 298 8.4.5 帧同步系统的FPGA实现及仿真 303 8.5 小结 304

2015-02-12

扩频通信数字基带信号处理及其VLSI实现

噪声分析,载波同步种的几种常用的环路,锁相环技术,扩频

2015-02-06

FPGA数字信号处理设计教程-System Generator入门与提高

FPGA数字信号处理设计教程-System Generator入门与提高纪志成版本,里面介绍了simulink的使用,xilinx dsp blockset 基本模块的使用和参数设定。

2015-02-05

cyusb3014 中文资料

赛普拉斯usb3.0 中文手册pdf,可以很快的读懂,是我高分下载的哦

2014-11-11

资深工程师总结的单片机C语言常用算法

51单片机等,嵌入式c常用的滤波,积分,pid,查找等优化算法。

2014-10-09

io模拟串口

吴鉴鹰单片机实战项目精讲,51单片机io口模拟串口的好方法

2014-10-09

学习资料,uboot移植详解

uboot移植的详细介绍,按照此文档移植已经成功!

2014-06-28

gcc-3.4的源码

gcc-3.4 编译器用着很不错,现在已经不是太好找了,给大家分享下!

2014-06-16

单片机例程代码

可参考单片机语言例程开发代码,只能参考!

2012-12-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除