自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (3)
  • 收藏
  • 关注

原创 ubuntu使用ffmpeg截取视频

终端命令:ffmpeg -i源文件名 -vcodec copy -acodec copy -ss 00:00:10 -to 00:00:15目标文件名 -y-ss time_off 00:00:10是从视频的第10s开始截取,00:00:15是到视频的第15s结束。如果用-t 表示截取多长的时间,如 上文,-to换为-t则是截取从视频的第10s开始,截取15s时长的视频。即截出来的视频共15s.注意的地方是:如果将-ss放在“-i源文件名”后面则-to的作用就没了,跟-t一...

2022-03-28 10:57:42 964

转载 ubuntu18.04配置静态ip和动态ip

ubuntu18.04配置静态ip和动态ip_晓之木初的博客-CSDN博客_ubuntu18.04配置静态ip

2022-03-17 16:33:55 335

原创 电脑开机时出现error:unknown filesystem

电脑装双系统之后开机,会出现如下报错:unknown filesystem.Entering rescue mode...grub rescue>有可能改变硬盘分区后,找不到系统引导文件了。解决方法:在grub rescue>后输入ls按回车,查看所有磁盘分区,会看到有(hd0)(hd0,msdos1)等磁盘信息 依次尝试,看Ubuntu系统在哪个分区输入ls(...

2022-01-26 09:49:49 8892

原创 ubuntu切换默认python版本

sudo rm -rf /usr/bin/python sudo ln -s /usr/bin/python3 /usr/bin/python

2021-08-02 18:10:25 111

原创 ubuntu依赖包安装踩坑

1. pip3 install numpy2. pip3 install opencv-pythonModuleNotFoundError: No module named 'skbuild' 解决:pip install scikit-build,再执行 pip3 install opencv-pythonrunning setup.py bdist_wheel for opencv-python时一直卡顿,原因是pip版本过低,执行sudo ...

2021-08-02 18:09:04 540

原创 immintrin.h:no such file or directory

c++工程中,自适应跟踪代码经常会碰到如题所示的报错,这是因为工程需要用到sse指令集,如果在windows环境下,只需在QT工程文件中添加编译条件:QMAKE_CXXFLAGS += -msse4.2我用的平台是TX2,在linux环境下,没有相应的指令集,需要下载此指令转换包,将其放在工程文件夹下,并将解锁后的 sse2neon.h文件与工程文件放在同一文件夹下,并用:#include "sse2neon.h"代替源文件中的:#include <immintrin.h.

2021-07-30 15:14:04 3637 1

原创 QT界面设计添加BUTTON不显示

在QT界面设计中常常出现button不显示的问题,此时只需要打开工程文件夹,找到里面的ui_xxx.h文件,备份好之后删除此文件,接着执行清理工程,执行qmake,rebuild工程的操作,就可以了!...

2021-07-23 09:39:41 4391 7

原创 opencv 数组转化为CV::MAT格式方法

图像采集过程中,采集的图像数据格式如下:typedef struct _tagFRAME_DATA //图像帧数据{ unsigned int Width; //图像宽度 unsigned int Height; //图像高度 unsigned char Img[MAX_FRAME_LENGTH]; //图像数据}FRAME_D.

2021-07-23 09:20:21 2131 1

原创 ubuntu 18.0.4 安装 opencv 完整过程

1.依照如下命令安装sudo apt install build-essentialsudo apt install cmake git libgtk2.0-dev pkg-config libavcodec-dev libavformat-dev libswscale-dev sudo apt install python-dev python-numpy libtbb2 libtbb-dev libjpeg-dev libpng-dev libtiff-dev libjasper-...

2021-07-20 19:04:39 468 1

原创 获取文件夹内所有文件名称

快速获取文件夹内所有文件名:  1、在该文件夹中新建TXT文件。  2、在TXT文件中输入 DIR *.*/B>LIST.TXT (DIR命令后须有一空格)  3、保存后将后缀名改为BAT。  4、双击该文件即可生成。  5、将生成结果复制到EXCEL中。厉害了!!!...

2020-03-23 11:00:26 5417 3

原创 QT共享库的创建及调用(简单例程实现)

QT小白,项目需要,学习了库的创建,过程心塞的不要不要的,时间不长,但中途差点崩溃。。。。。经自己努力和大神点拨,终于有了这个可以运行的实现过程,泪。。。建此博把详细过程写下来,防止以后再忘掉开始吧。。。第一步:先创建空文件夹我的文件夹:E:\trace_method_research\lib\test建lib工程,如图选择共享库,为自己的库起个名字,路径选在刚才...

2020-03-05 11:49:01 718

原创 DSP内核结构中的 D L S M

TI公司的TMS320C6XXX系列的DSP的CPU结构包括两对寄存器组(A B),和8个独立的功能单元,每个寄存器有4个功能单元(D L M S),不同单元功能如下: D:用于装载或保存信息到存储器并执行算数操作,该单元存取存储器,并对指针使用偏移量,也可执行32位的加减法运算。 M:用于乘法操作。有两个乘法器单元M1,M2,可执行16位乘16位的运算,产生32位结果。 ...

2019-08-02 10:31:27 2040

原创 opencv如何将彩色图转换为灰度图并保存灰度图

#include <opencv2\opencv.hpp>#include <iostream>#include <string>#pragma comment(linker, " /subsystem:\"windows\" /entry:\"mainCRTStartup\" ")using namespace std;using namespace...

2019-08-02 09:17:04 3361

原创 push_back, I.ptr<uchar>(i) 函数介绍

1.push_back 方法介绍vector::void push_back (const value_type& val);vector::void push_back (value_type&& val);该函数将一个新的元素加到vector的最后面,位置为当前最后一个元素的下一个元素,新的元素的值是val的拷贝(或者是移动拷贝)2.怎么理解Open...

2019-08-02 09:09:33 1556

转载 opencv中mat数据的type详解

opencv中Mat存在各种类型,其中mat有一个type()的函数可以返回该Mat的类型。类型表示了矩阵中元素的类型以及矩阵的通道个数,它是一系列的预定义的常量,其命名规则为CV_(位数)+(数据类型)+(通道数)。具体的有以下值:...

2019-05-07 09:56:36 1825

转载 Opencv中如何保存Mat矩阵

最近在学机器学习,用opencv的时候对于如何保存Mat矩阵纠结死了,查了N久的网页终于给找到了!!在OpenCV2.0以后的版本中,加入了对C++的支持,大大减少了程序代码量,方便了程序编写,也更符合现代编程思想。在视觉处理过程中,往往需要保存中间数据。这些数据的数据类型往往并不是整数。OpenCV的C++接口中,用于保存图像的imwrite只能保存整数数据,且需作为图像...

2019-04-25 14:23:54 1574

原创 卷积神经网络python实现

目录1、网络结构2、各层详解1)卷积层(conv)A、概念解释B、实现过程C、多维输入数据计算D、卷积层代码实现2)池化层(pooling)A、实现过程B、池化层代码实现3)激活层A、sigmoid函数B、阶跃函数C、relu函数4)affine层5)dropout层6)softmax-with-loss层A、损失函数介绍...

2018-12-18 12:02:10 27941 8

原创 python中append函数的用法

append函数会在数组后加上相应的元素例:a=[1,2,3]a.append(5)此时,运行结果为 [1, 2, 3, 5]a=[1,2,3]a.append([5])此时,运行结果为 [1, 2, 3, [5]]结果不再为一个数组,而是list用append生成多维数组:import numpy as npa=[] for i in range(5...

2018-11-12 11:08:47 333790 10

原创 python画二维图像

from mpl_toolkits.mplot3d import Axes3D #二维图像必须用到的库import numpy as npfrom matplotlib import pyplot as pltdef func2(x):#构建函数 return x[0]**2+x[1]**2 fig = plt.figure()ax = Axes3D(fig)x1=np...

2018-11-12 09:50:29 6228 2

转载 matlab处理彩色图像基本操作

在Matlab中一幅RGB图像的存储形式为MxNx3,其中每一个彩色像素都在特定空间位置的彩色图像中对应红绿蓝3个分量。分量图像的数据类型决定了它们的取值范围。若一幅RGB图像的数据类型是double,则分量的取值范围为[0,1],若为uint8,则取值范围为[0,255],若为uint16,则取值范围为[0,65535]从一幅RGB图像中提取颜色分量R=image(:,:,1);G=i...

2018-10-15 09:37:10 2115

原创 matlab函数ceil

double ceil(a);//返回大于等于a的最小整数 

2018-09-05 10:43:50 3093

原创 RGB2HSV转换公式

  

2018-08-02 09:02:27 5199

转载 相位相关matlab实现

Here is working code for an example that uses a single image and cuts out a 256x256 pixel portion of the image from one location 即在同一副图像中,先切一个256*256的图片,然后位移,再切一个同样大小256*256的图像and then cuts out th...

2018-07-12 11:24:16 3851 1

原创 matlab保存灰度图像的问题

将MATLAB数组保存为图像格式时,直接保存会自动保存为a*b*3类型的矩阵,要想保存为灰度格式。可使用下列命令:imwrite(uint8(I),'1.bmp');便可直接保存为灰度图像格式...

2018-06-26 11:55:50 10076 4

原创 verilog读取bmp格式图片

代码如下:`timescale 1 ns/1 nsmodule test_t;integer fileId, cc,out_file,i;reg [7:0] bmp_data [0:2000000];reg clk;reg [7:0] data;integer bmp_width, bmp_hight, data_start_index, bmp_size;in...

2018-05-28 17:12:21 4576 2

原创 Verilog笔记之booth乘法器

以3*7为例,首先写出乘数和被乘数的二进制表达式,分别为0011,0111;其中,3是被乘数,7是乘数,将乘数的补码形式表示出来,为:1001booth乘法器步骤:1、初始化p空间,其位数为2*n+1,n为乘数及被乘数的位数,本例中n为4,所以有p=0000 0000 02、将被乘数写入p[4:1],即 p=0000 0011 03、判断p[1:0],如下...

2018-04-25 10:12:32 9138 6

原创 quartus错误解决

1、在用quartus编译bdf文件时,出现了上述错误:Error: Can't compile duplicate declarations of entity "**" into library "work"原因是.v文件和.bdf文件名重复。解决方法:将bdf文件名改掉2、编译错误:near "wire": syntax error, unexpected wir...

2018-04-08 15:56:04 12985 1

原创 用vhdl写testbench文件的简单方法

Vhdl -- 写Testbench1 六进制计数器的代码Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt6isport(clr,en,clk ...

2018-03-30 10:12:05 21463 5

原创 c++将文件保存至txt文件的方法

MATLAB1、dlmwrite('datainput.txt',x,'d');注:datainput.txt为保存的文件名称,x为保存的数据,d为数据间隔符。2、f=fopen('data.txt','wt');     fprintf(f,'%d\n',x);      fclose(f);注:'wt'表示该文件的读写属性。c++VS中保存文件的代码示例:#include&lt;fstream...

2018-03-30 10:01:58 11290

原创 vs运行错误解决方法

问题:转换到code期间失败:文件无效或损坏解决:       文件属性-&gt;清单工具-&gt;嵌入清单一栏选择“否”

2018-03-30 09:51:10 893

转载 DSST目标跟踪算法Matlab代码运行方式(笔记)

代码来源:http://www.cvl.isy.liu.se/en/research/objrec/visualtracking/scalvistrack/index.html论文:Accurate Scale Estimation for Robust Visual Tracking(DSST) 1.运行环境win8.1+matlabR2015a2.准备工作将code下载至电脑任意位置,查看一下...

2018-03-30 09:47:52 2563 15

原创 关于hough圆弧检测C++程序的问题

需要用到一个圆弧检测的程序,苦于网上没有C++版的,自己根据MATLAB函数写了一个,自己感觉没什么问题,编译也可以通过,但结果就是很奇怪,求各位大咖帮忙,新手,小白一个。。。。void hough_circle(IplImage *BW,double step_r,double step_angle,double r_min,double r_max,double p){

2018-01-08 10:51:47 1714 2

sse2neon-master.zip

解决immintrin.h: no such a file文件找不到的问题

2021-07-30

彩条生成verilog代码(编译通过)

verilog语言,用于生成彩条图片及相应有效信号,内含生成图片及bmp转换工具,可成功仿真

2018-11-27

流水线有符号除法器的FPGA实现

流水线有符号除法器FPGA实现,可直接仿真,内附除法器原理及激励文件

2018-08-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除