自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (10)
  • 收藏
  • 关注

空空如也

全国高校省市学校三级联动 数据库文件 sql文件

本资料中包括三个sql文件,分别是province city school 三个sql文件,三张表相关联,用户可下载后导入到数据库中

2020-06-09

shandianpaopao-master.zip

校园跑腿程序,包括小程序端和后台WEB端,小程序使用的微信开发者工具开发的,后台WEB端使用的java, SSM架构,可以正常运行

2020-06-09

华为的verilog教程

华为公司的内部verilog教程,学习verilog非常有用,大公司的文档,比较规范,学习完此文档后即可掌握verilog所有相关语法 知识点

2010-09-05

DE2开发板的原理图

DE2开发板的原理图,DE2开发板是ALATER公司生产原版开发板,原理图朋友们设计FPGA板子的时候可供参考

2010-09-05

PCI 的一些资料,可供大家参阅

从网上找的一些PCI资料,包括驱动编写等

2009-11-12

PCI databook

这里是原版的PCI databook ,供有关人士下载使用

2009-11-12

FPGA与msp430的通讯程序

这是FPGA和msp430的通讯源码,经过测试过的,大家可以放心参考

2009-11-11

用VHDL写的LCD FM12232F程序源码

该程序是用VHDL写的FM12232F源码,已经运行成功,供大家参考。

2009-11-11

FPGA 键盘模块适合于初学者尝试用FPGA写键盘

这是用FPGA写的4*4键盘模块,这个键盘用了一个3*8译码器,行选择线有两条,第一条连前两行(共4*2个键),第2条线连后两行。列选择线通过3*8译码器选择8个键中的一个,两条行选择线上拉。

2009-11-11

示波器使用教程,详细介绍了示波器各方面的使用

详细介绍了示波器各方面的使用,比较适合初学者,让你对示波器有很深的认识,通过学习该教程能在平时工作学习中熟练使用示波器解决各种问题,可下载参考

2009-03-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除