自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (135)
  • 收藏
  • 关注

原创 单片机控制tc35i程序源代码

我想通过单片机控制tc35i模块,如果我发信息“吃饭”给tc35i,tc35i就会控制单片机让相应的继电器吸合,并且打电话给我确认。但是总是实现不了,请高手指点,程序如下:  #include #include sbit Race=P0^1; sbit AIR=P0^2; sbit START=P3^2; //启动端口 bit i=1,l=1; //main函数中的变量 unsigne

2010-03-07 11:57:00 2257 2

MSP430F5系列单片机RAM测试

MSP430F5系列单片机RAM测试

2016-08-05

MSP430F5系列单片机IO测试

MSP430F5系列单片机IO测试

2016-08-05

MSP430F5系列PMM 电源管理模块与供电监控

MSP430F5系列PMM 电源管理模块与供电监控

2016-08-05

MSP430单片机DAC调测程序

MSP430单片机DAC调测程序

2016-08-05

MSP430单片机ADC调测程序

MSP430单片机ADC调测程序

2016-08-05

MSP430单片机EEPROM调测程序

MSP430单片机EEPROM调测程序

2016-08-05

MSP430单片机DS1302调测程序

MSP430单片机DS1302调测程序

2016-08-05

MSP430单片机DS18B20调测程序

MSP430单片机DS18B20调测程序

2016-08-05

谭浩强c语言PDF版

谭浩强c语言PDF版

2016-08-04

高质量程序设计指南.C.C语言(第三版)].林锐

高质量程序设计指南.C.C语言(第三版)].林锐

2016-08-04

基于MSP430F149为主芯片下的红外线解码资料源程序

基于MSP430F149为主芯片下的红外线解码资料源程序

2016-08-04

MSP430F149的无线模块24L01程序

MSP430F149的无线模块24L01程序

2016-08-04

MSP430F149单片机模拟IIC和DS18B20通信进行测试温度代码

MSP430F149单片机模拟IIC和DS18B20通信进行测试温度代码

2016-08-04

MSP430F149单片机控制LED全彩显示屏的某一行列数据传输控制显示程序

MSP430F149单片机控制LED全彩显示屏的某一行列数据传输控制显示程序

2016-08-04

MSP430F149单片机对PS2键盘的控制程序和源码

MSP430F149单片机对PS2键盘的控制程序和源码

2016-08-04

MSP430F149单片机定时器A的PWM输出程序

MSP430F149单片机定时器A的PWM输出程序

2016-08-04

MSP430F149单片机的Flash程序

MSP430F149单片机的Flash程序

2016-08-04

MSP430F149-SHT15传感器例程(,随时调用,含注释

MSP430F149-SHT15传感器例程(,随时调用,含注释

2016-08-04

MSP430F149 GSM基本控制,初始化接收短息,解读短信

MSP430F149 GSM基本控制,初始化接收短息,解读短信

2016-08-04

MSP430F149--485串行通信

MSP430F149--485串行通信

2016-08-04

STM32F103触摸按键测试

STM32F103触摸按键测试

2017-04-07

stm32f429电容触摸按键程序

stm32f429电容触摸按键程序

2017-03-15

一种简易SDRAM控制器的设计方法

一种简易SDRAM控制器的设计方法

2016-08-06

基于FPGA的SDRAM控制器的设计和实现

基于FPGA的SDRAM控制器的设计和实现

2016-08-06

动态随即存储器HY57V641620

动态随即存储器HY57V641620

2016-08-06

verilog SDRAM控制器

verilog SDRAM控制器

2016-08-06

SDRAM的原理和时序

SDRAM的原理和时序

2016-08-06

FPGA读写SDRAM的实例

FPGA读写SDRAM的实例

2016-08-06

MSP430F5系列单片机USRT测试

MSP430F5系列单片机USRT测试

2016-08-06

MSP430F5系列单片机RTC测试

MSP430F5系列单片机RTC测试

2016-08-06

MSP430F5系列单片机CRC测试

MSP430F5系列单片机CRC测试

2016-08-06

MSP430F5系列单片机PMY测试

MSP430F5系列单片机PMY测试

2016-08-06

MSP430F5系列单片机DMA测试

MSP430F5系列单片机DMA测试

2016-08-06

嵌入式系统的C语言—译自《C for Embedded Systems》

嵌入式系统的C语言—译自《C for Embedded Systems》

2016-08-04

嵌入式CC++语言精华文章集锦

嵌入式CC++语言精华文章集锦

2016-08-04

高质量C编程指南—林锐

高质量C编程指南—林锐

2016-08-04

高速数字设计-黑魔书(完整版)

高速数字设计-黑魔书(完整版)

2016-08-04

C语言深度剖析学习

C语言深度剖析学习

2016-08-04

C语言教程-100例

C语言教程-100例

2016-08-04

C语言出错信息速查

C语言出错信息速查

2016-08-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除