自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Blkhumor的博客

在读研究生

  • 博客(29)
  • 资源 (2)
  • 收藏
  • 关注

原创 【SV】流操作符

流操作符作用把其后的数据打包成一个比特流>>和<<操作符>>把数据从左向右变成流,<<则把数据从右到左变成流。注意:可以指定一个片段宽度,把源数据按照这个宽度分段以后再转变成流。例如h={>>1{j}} 或h={>> {j}},片段宽度为1变为流h={>>8{j}} 或 h={>>byte{j}};以片段宽度为8(byte)变为流运行结果# h={>>{j}} //第一行为操

2022-04-27 21:42:41 6964

原创 【UVM】调用peek、poke后,后台访问无变化

项目场景:在UVM中使用寄存器模型的后门模式读写寄存器问题描述使用poke函数修改寄存器中的值,无报错,但没有将值写入进DUT中 p_sequencer.p_rm.counter.read(status,value,UVM_FRONTDOOR); `uvm_info(get_type_name(), $sformatf("counter's initial value(FRONT DOOR) is %0h",value),UVM_LOW) p_sequencer.

2022-04-10 18:11:47 1399

原创 【UVM】Please check the type of the variable ‘p_sequencer‘

编译报错:Please check the type of the variable ‘p_sequencer’可能的原因这很可能是由于未导入包含两个类的声明的包而导致的。class vsqr extends uvm_sequencer; spi_sequencer p_spi_sqr; apb_sequencer p_apb_sqr; `uvm_component_utils(vsqr)// 类的声明 function new(string name, uvm_comp

2022-04-04 19:12:57 358

原创 【Questasim】error: ‘for‘ loop initial declarations are only allowed in C99 mode

Questasim以指定模式编译c文件

2022-01-11 10:16:17 300

原创 【Linux】ln: failed to create symbolic link ‘*.so’: Operation not supported

问题ln: failed to create symbolic link ‘*.so’: Operation not supported总结在Linux虚拟机与windows主机进行文件共享,将代码放在共享文件夹中进行编译,以期在windows及Linux环境中都可以进行修改及编译而不需要额外的拷贝,此时在共享文件夹中的磁盘格式的是windows 的磁盘格式,ln命令并不适用,此时是由于在编译动态库的时候会在库的结尾加入版本信息,然后再通过ln建立链接。即:代码源文件在window端,便会发生这种情

2021-12-30 14:43:51 10733

原创 【UVM】Fatal: (SIGSEGV) Bad handle or reference.

connect_phase() 启动时会发生此错误,需要查看组件的所有connect_phases。由于它指向uvm_port_base,检查连接调用的port、export、imp、fifo、analysis_XXX,确保没有空句柄。‎思路:检查你的port、export、imp、fifo、analysis_XXX有没有创建。class XXX_env extends uvm_env; uvm_tlm_analysis_fifo#(.T(hmac_sha256_item)) fifo;

2021-11-24 15:58:53 890

原创 【UVM】Requested test from command line +UVM_TESTNAME=XXX_sequence not found

仿真报错:Requested test from command line +UVM_TESTNAME=XXX_sequence not found思路:检查UVM_TESTNAME启动的类名是否错误。检查UVM_TESTNAME启动的类名是否不是component。run_test()里启动的是component类及其子类,包括env,uvm_test,agent。而sequence 是object类,不能run_test()里启动。检查component类是否注册到factory里

2021-11-23 23:08:26 2274

原创 【DVT】build 提示no source files were complied for project

结论:出现这个原因主要是破解失败。注意第三步,第三步没有成功则会build失败DVT破解步骤注意:安装过程中不要出现中文路径。1、解压缩到C:\dvt_eclipse,复制license.dat到C:\dvt_eclipse2、设置环境变量:DVT_HOME=C:\dvt_eclipseDVT_LICENSE_FILE=FLEXLMDVTLMD_LICENSE_FILE=C:\dvt_eclipse\license.dat运行bin\dvt.bat启动软件,并运行例子。3、到安装目录C

2021-09-12 22:44:47 1802 19

原创 【HAL代码】之BMA400

文章目录硬件环境代码"bma400def.h""myBMA400.h""myBMA400.c"简单举例调试结果硬件环境BMA400.VCC->接3.3V注意:接5V电压BMA400就会被烧BMA400.GND->接GNDBMA400.SCL->接IIC.SCLBMA400.SDA->接IIC.SDABMA400.CSB->接VCC3.3VBMA400.INT1->自定义BMA400.INT2->自定义实物图代码“bma400def.h”/*

2021-03-25 10:33:19 659

原创 【标准库代码】之翻转模式输出不同频率不同占空比PWM

要求在TIMx上输出不同频率,不同占空比的PWM波形。例如:PA6输出fre1=100HzPA7输出fre2=200Hz测试硬件芯片:STM32RBT6步骤1. 变量申明//以下都是全局变量__IO u16 CCR1_Val=0;//__IO u16 CCR2_Val=0;//unsigned char G_duty1=10;//占空比1,设置量unsigned char G_duty2=20;//占空比2,设置量//函数声明void My_TIM3_Init(unsigned

2020-10-27 18:53:29 715 1

原创 【待更新】解决RTC_WaitForSynchro()死循环

在使用低俗内部时钟LSI(LSI为32内部RC时钟,频率40KHz),以及BKP存储掉电信息时,会一直卡在该函数上RTC_WaitForSynchro();分析stm32f10x_rtc.c源码分析/** * @brief Waits until the RTC registers (RTC_CNT, RTC_ALR and RTC_PRL) * are synchronized with RTC APB clock. * @note This function must be

2020-09-27 12:33:04 3654 4

转载 I2C设备地址关系——以MPU6050举例

转自:I2C设备、读写地址的关系文章目录结论MPU6050手册MPU6050的AD0端口接低电平MPU6050的AD0端口接高电平MPU6050相关问题资料整理结论I2C设备的写地址 = I2C设备地址 << 1I2C设备的读地址 = (I2C设备地址 << 1) + 1MPU6050手册链接:https://pan.baidu.com/s/1VaL6Fqdudg1G86l1tQTiKA 提取码:qgdmMPU6050的AD0端口接低电平当MPU6050的AD0

2020-07-17 11:58:30 5479

原创 【HAL库代码】之MPU6050

文章目录硬件环境头文件代码源文件代码简单举例硬件环境MPU6050.SCL->接STM32F407.PB8MPU6050.SDA->接STM32F407.PB9MPU6050.AD0->接GND头文件代码#ifndef __MPU6050_H#define __MPU6050_H#include "stm32f4xx_hal.h" //#include "stm32f1xx_hal.h" 用什么系列就是什么 //#define MP

2020-07-17 10:12:19 14301 60

原创 STM8其他工具

STM8其他工具文章目录STM8其他工具STM8CubemxSTM8代码生成器STM8标准库中文说明手册及配置软件STM8Cubemx乍一看和STM32Cubemx很像,但是【不能生成配置代码】,只能生成配置报告,该软件主要特性如下:STM8代码生成器GPIO初始化、ADC、TIM、时钟源等寄存器配置自动生成代码,支持的IC型号有【stm8s103f3】、【stm8s903k3】、【stm8s105s4】百度云链接:STM8代码生成器验证码链接:https://pan.baidu.c

2020-07-09 18:17:55 521

原创 SMT32打印固件版本信息

SMT32打印固件版本信息文章目录SMT32打印固件版本信息源码举例手动添加头文件absacc.h(选)测试结果总结宏定义__attribute__分析源码//-----------------------------------------------------------------------------//#include<absacc.h>//没用上,我的keil版本V5.27不需要----------------------------------------------

2020-07-02 15:41:43 353

原创 STM32字符串打印

STM32字符串打印cks32C8T6、stm32c8t6直接复制使用文章目录STM32字符串打印源码xxx.c头文件定义xxx.h举例源码xxx.cvoid UART_PutChar(USART_TypeDef* USARTx, uint8_t ch){ while((USARTx->SR&0X40)==0);//循环发送,直到发送完毕 USARTx->DR = (ch & (uint16_t)0x01FF); }void printf_uart(USAR

2020-07-02 14:17:09 1014

原创 STM32串口1,2,3初始化函数代码(标准库版)

STM32串口1,2,3初始化函数代码(标准库版)没有使用中断cks32C8T6、stm32c8t6直接复制使用其他芯片注意引脚,复用功能什么的文章目录STM32串口1,2,3初始化函数代码(标准库版)串口1初始化代码串口2初始化代码串口3初始化代码串口1初始化代码//串口1void Uart1_init(u32 bound){ //GPIO端口设置 GPIO_InitTypeDef GPIO_InitStructure; USART_InitTypeDef USART_InitS

2020-07-01 22:41:43 5691 5

原创 STM32的uart1、uart2、uart3重定向函数(标准库版)

STM32的uart1、uart2、uart3重定向函数标准库//uart1int fputc(int ch,FILE *f) { while(USART_GetFlagStatus(USART1,USART_FLAG_TC) != SET); USART_SendData(USART1,(unsigned char)ch); while(USART_GetFlagStatus(USART1,USART_FLAG_TC) != SET);

2020-07-01 22:23:31 1207

原创 CubeMx快捷键说明

快捷键说明名称快捷键说明保持当前的信号放置Ctrl-K防止移动pin分配来匹配新的外围操作模式。建议使用新的固定功能,它可以单独地阻止每个pin分配,并让这个复选框处于未选中状态禁用所有模式Ctrl-D重置所有已启用的外围设备和中间件模式为“Disable”清除引脚Ctrl-P在pinout视图中清除用户pinout配置显示所有已配置的引脚的列...

2020-04-19 14:38:41 1098

原创 CKS32F103C8T6最小系统板调试记录——ISP下载(串口下载)

前段时间在购买stm32f103的时候,店家给我发却是CKS32F103(店铺名:汉亨***)在店铺页面介绍中全程说是STM32,没有任何CKS32的资料。给的东西也没有cks32的相关资料。不仅挂羊头卖狗肉,而且客服态度贼差。吐槽完ISP下载,和我们用STC89C52系列单片机一样。需要两根信号线。要进行ISP下载,可按照如下检查:1.检查BOOT0=1,BOOT1=02.检查TX...

2020-04-09 15:58:22 5297 5

原创 CKS32F103C8T6最小系统板调试记录——SWD下载

cks32f103是国产芯片,由中科芯研发,比起ST公司F103多了一个刹车功能它有keil的器件安装包,支持keil的开发。器件安装包链接:链接: 百度网盘链接提取码:0xyu下载方式是:SWD方式连接图link.STLINK 烧写器引脚定义.备注:检查TVCC引脚是否有电压,如果没有电压就就外部引入供电线(如usb供电)或者将ST-link v2 的19脚接回系统板的V...

2020-04-06 14:30:03 9619 4

原创 【hal库】cubemx配置PWM输出

开发板:正点原子探索者F4型号:STM32F407zet原理图:PF9 (TIM14_CH1)功能:一个呼吸灯![在这里插入图片描述](https://img-blog.csdnimg.cn/20200331000522814.png

2020-03-31 00:10:03 2478

原创 基于正点原子开拓者FPGA串口的Modelsim仿真程序

基于正点原子开拓者FPGA串口的Modelsim仿真程序这是基于正点原子开拓者FPGA串口例程(10_uart_top)自我编写的仿真程序`timescale 1 ns/ 1 psmodule uart_top_vlg_tst();// constants // general purpose regis...

2019-10-20 14:27:00 1022 2

原创 正点探索者板子和NRF24L01+ 初始化失败

现象:使用NRFL2401+模块用正点的例程下载后,屏幕老是出现ERROR经检查,历程中SPI1_SetSpeed(SPI_BaudRatePrescaler_8);//spi速度为10.5Mhz(24L01的最大SPI时钟为10Mhz)在该函数中应该将SPI_BaudRatePrescaler_8 , 修改为 SPI_BaudRatePrescaler_16该函数出现在24l01.c中...

2019-09-27 21:19:47 889

转载 Python pip : 无法将“pip”项识别为 cmdlet、函数、脚本文件或可运行程序的名称

转载自:Python pip : 无法将“pip”项识别为 cmdlet、函数、脚本文件或可运行程序的名称。请检查名称的拼写,如果包括路径 ,请确保路径正确,然后再试一次。 - qq_41650733的博客 - CSDN博客 https://blog.csdn.net/qq_41650733/article/details/87902873将python安装路径下的Scripts添加到系统环境...

2019-08-01 16:43:51 21336

原创 解决_使用大白菜软件安装纯净版win有预装软件的问题

现象:使用大白菜制作U盘启动盘,并且将微软官网下载的纯净版本的win10系统安装在PC后,依旧出现安装软件。例如360浏览器、2345浏览器等杂七杂八的注意在安装好win10系统后,系统会提醒“系统正在部署(没截图)”此时打开任务管理器(快捷键:ctal+alt+esc),选择“启动”选项卡如下图注意:图中标记2,不一定是与我相同的字符串(ZrNnhwPqb),但通常是大写开头的字母组合...

2019-06-18 18:47:00 13101 3

原创 IAR错误: Stack pointer is setup to incorrect alignment. Stack addr = 0xFFFFFFFF

IAR错误: Stack pointer is setup to incorrect alignment. Stack addr = 0xFFFFFFFF原因:虽然可以忽略掉警告,进入调试页面,如上图。但是程序没有下载进去对策:如图。...

2019-06-08 19:32:47 7397 1

原创 IAR错误:Flash loader: Failed to setup call to 'FlashInit'"

Flash loader: Failed to setup call to ‘FlashInit’"原因是:芯片木有选对,导致Flash loader文件不对。对策:重新选择器件对应的Flash loader皆可。例如stm32f103c8t6最小系统板,应该选择FlashSTM32F10xx8.board。相对地址:TOOLKITDIRTOOLKIT_DIRTOOLKITD​IR\con...

2019-06-08 19:06:25 3953

原创 CC3200开发模块的笔记—定时器中断(timer)

CC3200开发模块的笔记—定时器中断作者:Tiger 在2017年圣诞节时,TI公司搞活动时我弄了一块CC3200的开发板,一起弄弄这个开发模块 产品名称:WIFI实训开发套件 产品名称:OURS-SDK-WFB 首先从官网上搞到了代码和开发环境。代码块// Standard include#include &lt;stdio.h&gt;// Driverlib i...

2018-08-29 15:06:31 774

线性状态机断言_systemverilog_assertion.zip

这是一个工程例子,使用VCS,DVE,Verdi工具 对一个线性状态机的时序进行断言,使用的是Systemverilog语言,是初学SVA验证的入门。 线性状态机有IDEL,WAIT0,CNT1,,,CNT6,WAIT6,NEXT_BLK共16个state 资源说明:包含DUT代码,断言代码,断言说明pdf,以及脚本。

2021-08-30

UVM 2017 v1.1 Library Code for IEEE 1800.2

【UVM库v1.1源码】UVM是通用验证方法学的简写,本文件是UVM库v1.1源码,v1.1版本是市面上广泛应用的版本,研究源码有助于更深刻理解UVM机制,便于写出更好的芯片验证程序

2020-12-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除