自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 资源 (4)
  • 收藏
  • 关注

原创 机器学习:特征选择与特征提取

特征选择与特征提取目的都是:降维,减少冗余特征选择:将N维特征 [X1,X2,........XN]选择其中最有效且独立的K特征子集来表征样本的有效性 [Xs1,Xs2,........Xsk] .特征提取:将提取的k维特征子集 [Xs1,Xs2,........Xsk] 映射到新特征[Ye1,Ye2, ...,Yen]上起到进一步的降维...

2019-05-30 12:10:43 3190 1

原创 机器学习:特征提取与特征选择意义及目的

•特征提取与选择的基本任务:是研究如何从众多特征中求出那些对分类识别最有效的特征,从而实现特征空间维数的压缩,即获取一组“少而精”且分类错误概率小的分类待征.目的:使在最小维数特征空间中异类模式点相距较远(类间距离较大),而同类模式点相距较近(类内距离较小)。 要求: (1)具有很大的识别信息量。即所提供的特征应具有很好的可分性,使分类器容易判别。(2)具...

2019-05-30 11:50:36 17555

原创 特征选择中PCA与KLT变换的区别

简单概括:K-L变换(Karhunen–Loève transform)应用范围比PCA广,可用于连续信号分析,离散信号分析,变换矩阵可包含二阶矩阵、协方差矩阵、自相关矩阵、总类内离散度矩阵等等。PCA变换(principal component analysis)又叫离散K-L变换,根据名称可知,在做特征分析时,PCA变换针对的是离散信号协方差矩阵,所以在这种情况下,PCA变换就等同于K...

2019-05-30 11:39:17 1474

原创 SVM(support victor machine,支持向量机)多分类机器学习的笔记

SVM(support victor machine,支持向量机)小记SVM特点:可以对小样本进行分类,也是其缺点,对大规模训练样本会出现过拟合。SVM训练集测试集的数据比值最好为3:1或者4:1,且多分类时,每种分类的训练样本数量应该相差不大。多分类SVM:调用函数有要调用多分类SVM必须先要下载一个库:libsvm-3.23svmpath='D:\Program File...

2019-05-09 14:52:25 474

原创 c++基础语法(懂了基础语法,就可以做算法啦)

1.c++文件结构引用头文件:#include <stdio.h>源文件通常以.cpp为扩展名。2.基本标示符合法标识符:maxGa,num,_sed不合法标识符:1maxs,nu!s3. 常量定义:constant int maxGa=10;变量定义:int maxGa=10;char *pca;4. 存储类型:static, register, au...

2019-01-28 17:34:06 531

原创 程序员代码下载上传常用网站集

码农网:http://www.codeceo.comGitHub代码托管网站:https://github.comCSDN:http://www.csdn.netpudn:http://www.pudn.comverysource:http://www.verysource.com博客园:https://www.cnblogs.comstackoverflow:https:...

2019-01-28 16:58:56 1166

原创 了解CCD读取噪声

 简介l    测量    l    解释FFT    l    噪声FFT    l    您的相机    l    创建FFT    l    总结了解CCD摄像头读取噪声从业余天文学家到商业用户和科学研究人员,使用冷却CCD相机的每个成像仪的目标是尽可能地生成最佳图像。冷却CCD相机和数字图像处理技术彻底改变了科学成像和天文摄影技术,使得使用相对便宜的设备的严肃的业余摄影师可以拍...

2019-01-28 16:58:21 6286

原创 最小化时钟诱发电荷(minimzing clock induced charge)

最小化时钟诱发电荷精良的充电时钟图1(A)显示了在不同的垂直移位速度,29毫秒曝光时间下以x1000增益拍摄的DARK IMAGES。冷却温度为-85°C以确保最小的暗电流贡献。 (B)示出了在三个不同的垂直移位速度下从这样的暗图像取得的跨512行像素的典型线强度分布。在更快的垂直位移和独特的安道尔能力的条件下,可以清楚地看到最干净的本底噪声。时钟感应充电(CIC)可以被认为是EMCC...

2019-01-28 16:58:02 409

原创 EMCCD教程

 EMCCD教程打印为什么选择一个 EMCCD相机?低光成像发生在各个领域,从无限小到无限大。它可以通过荧光标记追踪脑突触的分子动力学,或通过光谱学研究遥远的太阳系外行星的大气。但是,在任何情况下,当光子很少时,到达成像设备的信号可能会很弱,不足以与背景噪声混合。因此非常需要恢复光子信号的策略。电子倍增 CCD(EMCCD)技术,有时称为低照度CCD(L3CCD)设计用于降低读...

2019-01-28 16:57:36 2165

转载 DALSA相机平场校正步骤

转载于:https://blog.csdn.net/liubing8609/article/details/42386747DALSA相机平场校正步骤l 相机数据链:l 相机存储结构:导入出场设置: lfs,此时相机FPN与PRNU系数归零。 保存当前设置至EEROM: wus(相机重新上电后则运行此设置) 保存当前校正因子至EEROM: wpc 恢复最后一次保存的用户设置与FP...

2018-05-27 18:45:52 3671

转载 Bilateral filter

双边滤波器(Bilateral filter)是一种可以保边去噪的滤波器。可以滤除图像数据中的噪声,且还会保留住图像的边缘、纹理等(因噪声是高频信号,边缘、纹理也是高频信息,高斯滤波会在滤除噪声的同时使得边缘模糊)。那这么优秀的一个滤波器,他到底是个什么呢,其实,它和我们普通的高斯滤波器一样,也是使用一个卷积核(模板矩阵),叠加到待处理像素点上,使用对应邻域像素点的加权求和来作为新的输出像素点的值...

2018-05-24 10:34:55 2090

转载 图像增强 Robert算子、Sobel算子

灰度图像--图像增强 Robert算子、Sobel算子       目录(?)[+]开篇废话图像梯度介绍Robert算子Sobel算子代码结果总结学习DIP第36天转载请标明本文出处:http://blog.csdn.net/tonyshengtan,欢迎大家转载,发现博客被某些论坛转载后,图像无法正常显示,无法正常表达本人观点,对此表示很不满意。有些网站转载了我的博文,很开心的是自己写的东西被更...

2018-05-22 11:06:19 5559

转载 State-of-the-art-BM3D

图像去噪是非常基础也是非常必要的研究,去噪常常在更高级的图像处理之前进行,是图像处理的基础。可惜的是,目前去噪算法并没有很好的解决方案,实际应用中,更多的是在效果和运算复杂度之间求得一个平衡,再一次验证了我老师的一句话:所有的工程问题最后都是最优化问题。好了,废话不多说,来看看效果比较好的去噪算法吧。噪声模型图像中噪声的来源有许多种,这些噪声来源于图像采集、传输、压缩等各个方面。噪声的种类也各不相...

2018-05-17 10:46:06 992 1

转载 BM3D图像去噪算法

原文:https://blog.csdn.net/liyingjiang22/article/details/51611464一、引言               一种非局部去噪方法Non-local method[1],可以归类到spatial method中,另外用的比较多的还有transform method,基于transform method的方法在image denoise中也取得了很...

2018-05-17 10:42:21 4538 1

转载 快速非局部去噪算法

转载地址:https://blog.csdn.net/qq_26260209/article/details/45219167图像去噪是一个经典的课题。然而,对于真实数码照片,要想达到良好的去噪效果,且非易事。尤其是对于手机拍摄的照片,更是如此。如果你在光线不好的环境下,用手机前置摄像头拍照,往往会有很多的噪声。           我们可以在任何一本关于数字图像处理的教材上找到多种图像去噪的方法...

2018-05-17 10:39:24 2197 1

转载 #转载#prf.zhang图像处理代码

Research Codes CVPR 2012:[1]         M. Yang, L. Zhang, D. Zhang and S. Wang, “Relaxed Collaborative Representation for Pattern Classification,” in CVPR 2012. (paper) (code will be available soon)[2] ...

2018-05-17 09:46:27 462

原创 fopen、fprintf、fclose、fread、fid

1.fopen打开文件,fprintf写文件,fclose关闭并保存文件,fread指针型增长显示,fid为文件标识符a='write_file';fid=fopen('e:\writefile.txt','w+')fid%%%fid仅返回的是,文件标识符号fprintf(fid,'%s',a);%字符串a='string'写入fclose(fid);%%%关闭并保存文件a='write_file...

2018-05-15 16:23:11 1698

原创 (matlab) figure中画多条线,并对每条线依次加标注

t = 0 : 0.01 : 5;x = sin(t);y = cos(t);figureplot(t, x,'red--');  % 绘制正弦曲线hold on;  % 将正弦曲线保持在图形中plot(t, y,'y+'); % 绘制余弦曲线,完成后图形中就会同时显示正弦曲线和余弦曲线hold onz=x+y;plot(t,z,'b:.')hold ong=x.*y;plot(t,g,'g.')...

2018-05-11 19:01:39 122006 1

原创 (matlab)自带库函数加入高斯噪声和椒盐噪声,并使用自带库滤除噪声(3d显示)

clear all;clear clc;I=imread('捕获2.png');I1=rgb2gray(I);figuresubplot(2,2,1)imshow(I1);[w,h,z]=size(I1);[x,y]=meshgrid(1:h,1:w)subplot(2,2,2)mesh(x,y,I1)colormap jet ;I2=imnoise(I1,'gaussian',0,0.001);...

2018-05-10 15:56:35 9575 1

原创 matlab基础:函数FUNCTION编写

1.编写test函数function [o,p,q,r] = test( n )%%%%%%%%%%%%%%%编写function test函数%%%%%%%%%%%%%%%%%%%%%%test函数变量为n,返回值为o,p,q,ro= 0;p=0;q=6;r=128;for i = 1:n    o = o + i;    p=p+1;    q=q.*n    r=r.^0.2    end2...

2018-05-10 10:41:21 13330

原创 2维图像加上方框,圆框,3D灰度显示并求出图像梯度(论文算法,matlab)

clear allp=imread('捕获2.png');g=rgb2gray(p); % 转为灰阶图gg=double(g) % 转为数值矩阵%gg=gg/255; % 将彩色值转为 0-1 的渐变值[x,y]=size(gg); % 取原图大小[X,Y]=meshgrid(1:y,1:x); % 以原图大小构建网格figuremesh(X,Y,gg); % 网格上画出图像%%%%%%%%%%%...

2018-05-10 09:51:10 561

转载 #转载#给你一个算法应该怎么写程序(数学建模 matlab)

转载请注明出处:http://blog.csdn.net/sinat_34820292 https://blog.csdn.net/sinat_34820292/article/details/77619487本文写作初衷:有个可爱的学妹问我在看到一个算法编程时的步骤是什么,然而作为伪大神的我,感觉并不知道怎么回答,感觉我编程就俩字死磕,错了咋办?再来一遍!不行咋办?换个写法试试!还不行?百度!不...

2018-05-08 16:24:29 4897

原创 VHDL三段式状态机

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--导入程序中用到的库entity readout is port(     clk,rst_n:in std_logic;  clk100M:in std_logic;  ack,dck:i...

2018-03-26 17:02:38 4807

原创 (FPGA编程)仿C的硬件描述语言----verilog基本语法

学习FPGA编程,学习语法是编程的第一步的,今天就侃侃硬件仿C的硬件描述语言---verilog基本语法!1.模块化编写输入输出接口:module cmd_ctr (//module 模块名                         clk,rst_n,enable,                          h2l,data_in,end_cmd);注意: 模块名与保存文件名cmd...

2018-03-24 22:28:27 4747

转载 Linux常用命令大全

装了Linux与win7双系统,目前在学习linux系统,找到一篇讲linux系统命令的博客希望对自己有用。原文地址:http://www.cnblogs.com/fnlingnzb-learner/p/5831284.html 分类: linux最近都在和Linux打交道,这方面基础比较薄弱的我只好买了本鸟哥的书看看,感觉还不错。我觉得Linux相比windows比较麻烦的就是很多东西都要用命令...

2018-03-16 09:18:26 141

翻译 FPGA那些事儿---驱动VGA电脑显示器显示代码

//top.vmodule top(clk,rst_n,hsync,vsync,red,green,blue);input clk;input rst_n;output hsync;output vsync;output green;output red;output blue;wire[9:0]hs_addr;wire[9:0]vs_addr;wire valid;wire clkpixel;w...

2018-03-14 20:42:41 3080

原创 华硕笔记本拆机清尘,修理

电脑电扇不转了,用了六年的华硕A85V老电脑又舍不得舍弃,想让他再坚挺坚挺!作为一个玩硬件的老油条,今天就拆机试了一波水!工具:电工工具箱、清洁工具、胶带等第一步,关机拔出电池,把笔记本翻过来把所有螺丝用梅华刀拧下来,注意把螺丝放在合适的位置,且做好分类,别弄丢了!拧下螺丝之后把硬盘取出来。第二步,取下联网芯片。第三步,A85V本子中间有一个黑色的胶块,把他取下来,里面埋着一个螺丝,拧下就可以取出...

2018-03-07 22:27:58 5760 3

原创 FPGA编程语言--VHDL OR Verilog?

硬件新手疑问1:大家都在争硬件开发是选择单片机,DSP,ARM还是FPGA呢?以我个人经验,我也是在硬件方面做了几年的老油条了,大学时玩过单片机,也就是大家常说的C51,C52,单片机驱动个流水灯还行,但是研究生阶段遇到的很多问题,单片机就有心无力了。至于ARM,DSP or FPGA,由于研一做无人机做了DSP的项目,鄙人觉得DSP入手比较难,但是DSP主攻方向是算法研究的,用于算法处理,绝对是...

2018-03-07 11:35:20 27948 8

原创 CCD相机模数转换芯片时序简介

视频采集ADC(常用的有AD9824,AD9945,AD9945以及TI公司的VSP系列)是一系列完整的混合信号集成电路,包含与摄像机中电荷耦合器件(CCD)成像器输出信号处理有关的所有关键特性,数码相机,安全摄像机,或其他类似的应用。视频采集ADC包括相关双采样器(CDS),可编程增益放大器(PGA),模数转换器(ADC),输入钳位,光学黑(OB)电平钳位环路,串行接口,时序控制和参考电压发生器...

2018-03-06 20:04:17 4005 1

原创 无线城市--WiMax,WiFi-Mesh和3G/4G/5g网络

许多市政当局不希望进入网络运营商的业务,无论是建设和运营自己的网络。相反,他们会帮助启动项目(为初始资金,路径,房地产,用于设备安装的街道设施等提供混合资金),但依靠商业合作伙伴完成整个项目并运行网络和服务,市政当局提供了关键的主要客户,帮助该计划初步实现商业可行性。因为这个方法涉及两个双方的商业案例都必须奏效,这给网络投资回报率带来了特别的压力,因为市政当局显然正在寻求节省通信和其他成本,而运营...

2018-03-05 23:00:42 3443

原创 网络的连接者--路由器与交换机

路由器和交换机都是允许一台或多台计算机连接到其他计算机,联网设备或其他网络的计算机联网设备。路由器,交换机和集线器的功能都不同,即使有时它们被集成到单个设备中。路由器连接两个或多个逻辑子网,这些子网不一定与路由器的物理接口一对一映射。术语3层交换机通常与路由器交换使用,但交换机实际上是一个没有严格的技术定义的通用术语。在市场营销使用中,它通常针对以太网 LAN接口进行了优化,可能没有其他物理接口类...

2018-03-05 22:42:36 1063

原创 用VHDL实现有限状态机

背景在数字系统中,有两种基本类型的电路。第一类是组合逻辑电路。在组合逻辑电路中,输出仅依赖于输入。组合逻辑电路的例子包括加法器,编码器和多路复用器。例如,在加法器中,输出只是输入的总和; 无论以前的输入或输出是什么都没有关系。第二种类型的数字逻辑电路是时序逻辑电路。在顺序逻辑电路中,输出不仅取决于输入,还取决于系统的当前状态(即输出值和任何内部信号或变量)。顺序逻辑电路的复杂程度不同于简单的计数器...

2018-03-05 22:15:50 17220 4

原创 数码相机之一山有二虎:CCD与CMOS之争

所有数码相机都有一个图像传感器,用于捕获信息并生成照片。有两种主要类型的图像传感器 - CMOS和CCD - 各有其优点。图像传感器如何工作?了解图像传感器的最简单方法是将其视为相当于一部电影。当数码相机上的快门按钮被按下时,光线进入相机。图像曝光到传感器上的方式与35mm胶片相机中曝光在一张胶片上的方式相同。数码相机传感器由许多像素组成,这些像素收集通过光电二极管转换为电荷的光子(光能量包)。反...

2018-03-05 21:33:48 1238

原创 Camera Link相机接口

关于FPGA采集卡实现的简要技术说明1介绍Camera Link是工业相机中使用的标准接口。它由多个使用差分信号的串行链路组成。根据要传输的数据量,每台摄像机可以使用一条或两条电缆。自动影像协会是Camera Link标准的创造者,似乎投入了一些精力去除维基百科的超链接,并迫使成员从网上移除标准。由此导致的Camera Link信息缺乏是我撰写本指南的主要原因。2电气Camera Link使用的电...

2018-03-05 20:46:43 26127 2

原创 SDRAM - 一个简单的存储控制器

我们的SDRAM控制器具有以下特点:易于使用:使SDRAM看起来像一个静态存储器(或尽可能地接近)。快速:如果您提供连续地址,则在突发模式下使用SDRAM。简单:没有排程,一次只有一家银行处于活跃状态。功能强大:SDRAM显示为一个简单的双端口存储器(即只有一个写入代理和一个读取代理)。控制器最罕见的功能可能是最后一个。SDRAM是单端口存储器,但FPGA可以通过访问双端口存储器(如blockra...

2018-03-05 20:30:43 1222

原创 SDRAM - 从静态到动态的存储转变

我们假设我们想要将一个16Mb存储器连接到FPGA。16Mb表示内存可容纳1600万位(或确切的说是16777216)。现在这些位很少单独寻址,但通常以8或16的数据包(我们称之为单词)。因此,如果我们的16Mb存储器被组织为1M字16位,我们需要一个20位地址总线和一个16位数据总线,再加上一些写使能和读使能信号。真正的存储器也有一个CS(片选),如果存储器是同步的,一个时钟(为了清晰起见,这些...

2018-03-05 20:25:17 371

重庆大学 软件无线电 课程论文 自适应均衡算法研究

重庆大学的软件无线电 课程论文,自适应均衡算法研究,仅供参考,本人原创,转载请注意附上转载地址

2018-03-06

重庆大学模式识别课程论文

重庆大学的研究生模式识别,课程论文写作,个人原创,转载请附加转载地址,谢谢

2018-03-06

FPGA驱动SDRAM的资料

驱动SDRAM的时序比较的麻烦一些,不像驱动SRAM,非常简单,网上搜索一下,估计有非常多的FPGA驱动SDRAM的资料,而且是各种的给你讲时序问题,不懂SDRAM为何物的,一定要看看。

2018-03-06

dr.com破解版,支持360wifi,猎豹wifi

dr.com破解版,支持360wifi,猎豹wifi,方便学生在自己周围构建私人网络

2018-03-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除