自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(571)
  • 资源 (73)
  • 收藏
  • 关注

原创 ZYNQ BRAM实现PS与PL数据交互

有时CPU需要与PL进行小批量的数据交换,可以通过BRAM模块,也就是Block RAM实现此要求。本章通过Zynq的GP Master接口读写PL端的BRAM,实现与PL的交互。在本实验中加入了自定义的FPGA程序,并利用AXI4总线进行配置,通知其何时读写BRAM。以下为本实验原理图,CPU通过AXI BRAM Controller读取BRAM数据,CPU仅配置自定义的PL BRAM Controller 的寄存器,不通过它读写数据。

2024-04-23 11:41:08 617

原创 ZYNQ--PL读写PS端DDR数据

PoE技术的工作原理是在供电设备和接收设备之间进行电力传输的协商,以确保安全可靠的供电。同时,PoE技术还具有一定的电力保护功能,可以在电力超载或短路时自动停止供电,保护设备的安全。PoE供电系统的主要供电特性参数包括电压(典型值为48V)、允许最大电流(550mA)、典型工作电流(10到350mA),以及为供电设备提供的功率等级(最大不超过13W)。PoE供电(Power over Ethernet)是一种技术,它允许通过现有的以太网电缆为设备提供电力,同时该电缆也用于数据的传输。

2024-04-23 10:30:10 753

原创 poe供电

PoE技术的工作原理是在供电设备和接收设备之间进行电力传输的协商,以确保安全可靠的供电。同时,PoE技术还具有一定的电力保护功能,可以在电力超载或短路时自动停止供电,保护设备的安全。PoE供电系统的主要供电特性参数包括电压(典型值为48V)、允许最大电流(550mA)、典型工作电流(10到350mA),以及为供电设备提供的功率等级(最大不超过13W)。PoE供电(Power over Ethernet)是一种技术,它允许通过现有的以太网电缆为设备提供电力,同时该电缆也用于数据的传输。

2024-04-23 09:11:44 6

原创 poe供电

PoE技术的工作原理是在供电设备和接收设备之间进行电力传输的协商,以确保安全可靠的供电。同时,PoE技术还具有一定的电力保护功能,可以在电力超载或短路时自动停止供电,保护设备的安全。PoE供电系统的主要供电特性参数包括电压(典型值为48V)、允许最大电流(550mA)、典型工作电流(10到350mA),以及为供电设备提供的功率等级(最大不超过13W)。PoE供电(Power over Ethernet)是一种技术,它允许通过现有的以太网电缆为设备提供电力,同时该电缆也用于数据的传输。

2024-04-11 14:44:36 13

转载 第六届"复微杯"2024全国大学生电子设计大赛正式启动!

春风和煦,万象更新各位同学们是否已经在期待今年的复微杯了?江湖传闻今年的复微杯比往年来的更早一些没错!为了给各路大神提供更充足的准备时间第六届"复微杯"2024全国大学生电子设计大赛正式开启报名啦!奖金满满、奖项满满更有复旦微电子集团面试直通卡你的钱包,我们承包了你的工作,我们也承包了竞赛相关,请往下看~竞赛日程说明:本届大赛全程不收取任何费用。竞赛形式1.本届大赛继续秉承“多赛道&分命题...

2024-04-10 09:01:52 122

转载 FPGA图像算法工程师招聘

招聘单位:深圳致虹医疗科技有限公司企业信息:致虹医疗科技前身为深圳耀禾电子科技有限公司本公司,为新创企业(正在走注册变更),志力于开发医疗器械影像产品,配套使用附件为植入类医疗器械,属于高新企业。公司隶属东莞美光达光学科技有限公司全资控股子公司。办公位置:深圳市南山区深南大道9680号大冲商务中心A座2806室。招聘岗位:图像算法工程师, 年薪:40W+任职要求:1. 计算机、通信、电子信息、自...

2024-03-30 14:26:25 37

原创 FPGA modelsim自动仿真代码(已验证)

启动 modelsim文件。

2024-03-28 14:55:24 15

转载 AI时代以来,抓紧时间上车!

又是一年3月份,ai从去年火到了现在,我也一直用到了现在,今天来分享下我平时是怎么用AI提效的。如果你有一段代码写的又乱性能又差。现在你的老板让你重构它,你会怎么做。你可能需要仔细阅读代码,并且揣测代码的含义,然后开始改动,改动完成后可能还需要做各种逻辑微调。运气不好的时候,半小时就过去了。这是以前的我会做的事情,而现在,我会直接把代码粘贴到AI里,让它帮我重构下代码,我甚至都不需要告诉它怎么重构...

2024-03-27 11:18:21 14

原创 FPGA 8b10b编码。

在8b/10b编码中,8位数据被映射成10位代码,其中每个8位的数据块都映射到一个10位的代码中,以便进行传输。数据和控制字符分离: 在8b/10b编码中,从要传输的数据中选取8位,这8位数据通常被称为“数据字符”。总之,8b/10b编码的原理在于通过数据字符到码字的映射,实现了直流平衡、支持控制字符以及一定程度的错误检测与纠正,从而在高速串行通信中发挥重要作用。综上所述,8b/10b编码器的Verilog实现需要遵循8b/10b编码规范,同时考虑到时序逻辑和控制逻辑,以实现数据字符到码字的映射。

2024-03-25 15:43:08 133

原创 ZYNQ PS端UART数据收发

以下是UART模块的结构图,TxFIFO和RxFIFO都为64字节。下图为UART的四种模式* @{* @{0x00U* @{0x01U* @{0x02U* @{0x03U* @{可以用remote loopback mode 测试物理电路是否正常,使用API函数XUartPs_SetOperMode012/*int Status;if(Status!

2024-03-25 10:59:50 229

转载 全新全国产化ARM+FPGA -“RK3568J+Logos-2”板子来袭,让硬件设计门槛再降低!

如需选购,请登录创龙科技天猫旗舰店: tronlong.tmall.com!欢迎加入RK3568J技术交流群:567208221欢迎加入Logos-2技术交流群:311416997更多产品详情以及购买咨询可添加如下客服人员微信(即刻添加,马上咨询)更多RK3568J+Logos-2产品资料可长按二维码识别下载...

2024-03-25 07:30:40 20

原创 ZYNQ 自定义AXI接口 IP(PWM)

【代码】ZYNQ 自定义AXI接口 IP(PWM)

2024-03-22 14:29:47 282

原创 FPGA 实现CRC-8/ROHC(已验证)

CRC结果为0x94。

2024-03-21 16:55:27 71

原创 ZYNQ AXI GPIO

一个AXI GPIO 模块有两个GPIO,分别是GPIO和GPIO2,也就是channel1和channel2,为双向IO。AXI GPIO的寄存器也不多,主要是两个channel的数据寄存器GPIO_DATA和GPIO2_DATA,两个channel的方向控制GPIO_TRI和GPIO2_TRI,以及全局中断使能寄存器GIER,IP的中断使能IP IER和中断状态寄存器ISR,具体的功能可以看AXI GPIO 的文档 pg144。AXI_GPIO 直接操作寄存器输出。

2024-03-20 16:48:45 241

转载 招聘FPGA工程师 年薪40W+

招聘单位:深圳致虹医疗科技有限公司企业信息:致虹医疗科技前身为深圳耀禾电子科技有限公司本公司,为新创企业(正在走注册变更),志力于开发医疗器械影像产品,配套使用附件为植入类医疗器械,属于高新企业。公司隶属东莞美光达光学科技有限公司全资控股子公司。办公位置:深圳市南山区深南大道9680号大冲商务中心A座2806室。招聘岗位:图像算法工程师, 年薪:40W+任职要求:1. 计算机、通信、电子信息、自...

2024-03-20 08:56:18 38

原创 ZYNQ EMIO MIO

先来了解GPIO的BANK分布,在UG585文档GPIO一章中可以看到GPIO是有4个BANK,注意与MIO的BANK区分。BANK0 控制32个信号,BANK1控制22个信号,总共是MIO的54个引脚,也就是诸如SPI,I2C,USB,SD 等 PS 端外设接口;BANK2和BANK3共能控制64个PL端引脚,注意每一组都有三个信号,输入EMIOGPIOI,输出EMIOGPIOO,输出使能EMIOGPIOTN,类似于三态门,共192个信号。可以连接到PL端引脚,通过PS控制信号。

2024-03-19 16:18:11 427

原创 C语言基础学习

在使用extern声明变量或函数时,对于函数来说,只需要声明其返回类型和参数类型,而不需要提供函数体。对于变量来说,只需要声明变量的类型,而不需要提供变量的值。这是因为extern关键字只是用来告诉编译器该变量或函数是在其他地方定义的,不需要在当前文件中提供其具体实现。在C语言中,关键字extern用于声明一个变量或函数是在其他文件或模块中定义的。总之,extern关键字的作用是告诉编译器某个变量或函数是在其他地方定义的,编译器不需要对其进行分配存储空间或生成其代码,而是在链接阶段找到该变量或函数的定义。

2024-03-16 15:04:40 236

转载 硬件工程师日常崩溃图鉴

关注我们更多精彩等你发现!据说很多搞软件的羡慕硬件工程师因为在软件行业35岁就算老人了而搞硬件的53岁正辉煌表面上看起来高大上的硬件工程师在实际的工作中,其实很惨...以上漫画虽然调侃的意味更多但现实中搞硬件的门槛确实很高收入也会随着经验的增多不断增长硬件工程师也基本不存在中年危机如果实在搞不下去了转项目经理其实也比较轻松很多人自己去创业也干的不差因为硬件工程师接触面很宽更容易从全盘去考虑问题一个...

2024-03-16 09:26:18 15

原创 CRC校验(FPGA实现)

CRC(循环冗余校验)是一种校验和算法,用于检测数据传输或存储中是否发生了错误。它通过对数据流进行特定的数学运算(比如按照多项式进行除法运算),生成一个特定长度的校验结果,将其附加到数据中一起传输或存储。接收方可以使用相同的算法对接收到的数据进行检验,如果校验结果与发送方计算得到的结果相同,则表明数据在传输或存储过程中没有发生错误。CRC校验通常用于网络通信、数据存储以及磁盘校验等领域,以确保数据的完整性和正确性。

2024-03-15 11:41:52 274

原创 Xilinx LVDS ISERDESE2

例如,单词 FEDCBA 的最低有效位 A 位于OSERDESE2的 D1 输入端,但相同的位 A 从 Q8 输出端的ISERDESE2块中出现。在 SDR 模式下,串行到并行转换器可创建 2 位、3 位、4 位、5 位、6 位、7 位或 8 位宽的并行字。在 DDR 模式下,串行到并行转换器在使用一个 ISERDESE2 时创建 4 位、6 位和 8 位宽的并行字模式,在使用两个级联ISERDESE2时创建 10 位或 14 位宽的并行字。3,对基于选通的存储器接口的专用支持。• 对异步接口的专用支持。

2024-03-15 09:49:35 289

原创 数字图像处理之gamma矫正

1 什么是图像gamma矫正?图像Gamma矫正是一种调整图像对比度和亮度的方法。它使用幂律函数来调整图像的灰度级分布,以改变图像的显示效果。在Gamma矫正中,使用一个幂律函数来对图像的像素值进行变换。幂律函数的通用形式为:V_out = A * V_in^gamma,其中V_in是输入图像的像素值,V_out是输出图像的像素值,gamma是调节参数,A是归一化系数。通过改变gamma的值,可以...

2024-03-14 09:02:07 364

原创 VHDL快速语法入门

1 概述HDL(VHSIC Hardware Description Language)是一种硬件描述语言,主要用于描述数字电路和系统的结构、行为和功能。它是一种用于硬件设计的标准化语言,能够帮助工程师们更好地描述和设计数字电路,并且广泛应用于FPGA和ASIC设计中。在VHDL中,一个设计被描述为一个实体(entity),它包含了输入输出端口的描述。实体也包含了该设计的行为(behavior)...

2024-03-03 09:30:52 258

原创 matlab实现不同窗滤波器示例

1 汉明窗低通滤波器 :在Matlab中使用汉明窗设计低通滤波器可以通过fir1函数实现。汉明窗通常用于设计滤波器,可以提供更突出的频率特性。下面是一个示例代码,演示如何在Matlab中使用汉明窗设计低通滤波器:% 定义滤波器参数fs = 1000; % 采样频率cutOffFreq = 100; % 截止频率filterOrder = 50; % 滤波器阶数% 计算归一化的截止频率n...

2024-02-27 11:30:52 770

原创 matlab实现汉明窗低通滤波器,汉宁窗带通滤波器,布莱克曼窗高通滤波器,矩形窗的带阻滤波器。

这段代码生成了一个矩形窗带阻滤波器,其阶数为100,带阻频率范围为50Hz到200Hz,采样频率为1000Hz。这段代码生成了一个汉宁窗带通滤波器,其阶数为50,通带频率范围为50Hz到200Hz,采样频率为1000Hz。然后,使用fir1函数通过指定布莱克曼窗来设计高通滤波器,并绘制了滤波器的频率响应。这段代码生成了一个布莱克曼窗高通滤波器,其阶数为50,截止频率为100Hz,采样频率为1000Hz。然后,使用fir1函数通过指定矩形窗来设计带阻滤波器,并绘制了滤波器的频率响应。

2024-02-24 21:15:12 337

原创 VHDL语法入门

HDL(VHSIC Hardware Description Language)是一种硬件描述语言,主要用于描述数字电路和系统的结构、行为和功能。它是一种用于硬件设计的标准化语言,能够帮助工程师们更好地描述和设计数字电路,并且广泛应用于FPGA和ASIC设计中。在VHDL中,一个设计被描述为一个实体(entity),它包含了输入输出端口的描述。实体也包含了该设计的行为(behavior)的描述。

2024-02-22 15:42:46 34

原创 FPGA SERDESE2 (SDR收发仿真)

不同的FPGA构架,SERDESE的结构有一些差异,在使用的时候需要注意,比如7代FPGA的SERDESE和ultrascale系列的SERDESE就有一些差异,7代的FPGA程序移植到ultrascale系需要做一些必要的修改。PLLE2_ADV 的使用,ISERDESE串并转换和OSERDESE,并串转换原语的使用。高速串行通信优势非常巨大,只需要很少的IO引脚就可以实现高速通信,这也是当今FPGA高速接口的核心。本文首先以xapp585提供的demo介绍实现7:1的并串转换和1:7的串并转换。

2024-02-21 16:31:44 265

原创 FPGA OSERDESE2

OSERDESE2唯一有效的时钟安排是: • CLK 由 BUFIO 驱动,CLKDIV 由 BUFR 驱动 • CLK 和 CLKDIV 由同一 MMCM 或 PLL 的 CLKOUT[0:6] 驱动 当使用 MMCM 驱动OSERDESE2的 CLK 和 CLKDIV 时,支持OSERDESE2的缓冲器类型不能混合。三态并行到串行转换 除了数据的并行到串行转换外,OSERDESE2模块还包含一个并行到串行转换器,用于 IOB 的 3 态控制。CLK是高速串行时钟,CLKDIV是分频并行时钟。

2024-02-21 15:27:56 552

原创 STM32开发DAC1282 (HAL库)

该DAC1282是一款单芯片数模转换器 (DAC),可自行生成低失真正弦波和脉冲输出信号,以满足地震记录设备的苛刻测试要求。该DAC1282是一款完全集成的数模转换器 (DAC),提供低失真、数字合成电压输出,适用于地震设备的测试。该DAC1282集成了一个数字信号发生器、一个DAC和一个输出放大器,提供正弦波、直流和脉冲输出电压。脉冲模式,快速响应,5位脉冲DAC用于生成输出.脉冲DAC设计为近似的分级输出功能,允许跨所有范围生成脉冲测试信号。输出范围由模拟增益设置,模拟增益可调节DAC电流发生器。

2024-02-19 14:53:57 52

原创 FPGA 高速接口(LVDS)

LVDS(Low Voltage Differential Signalin)是一种。它使用幅度非常低的信号(约350mV)通过一对差分PCB走线或平衡电缆传输数据。大部分高速数据传输中,都会用到LVDS传输。目前FPGA开发板资料中涉及LVDS通信的方案并不多,但是LVDS实际上有大量的应用,特别是在高速ADC,高分辨率摄像头,液晶屏显示技术等应用领域。所以掌握LVDS通信也是我们FPGA开发者的必备基本技能。

2024-02-18 14:38:39 1621 1

原创 STM32 IIC电量计LTC2944

LTC2944是一款电池电量计,设计用于端电压为3.6V至60V的多节电池。它测量电池充电和放电、电池电压、电流和自身温度。精密模拟库仑计数器通过电池正极端子与负载或充电器之间的检测电阻器对电流进行积分。电池电压、电池电流和硅温度由内部ADC测量。

2024-01-31 11:09:11 1405

原创 xilinx 10G Ethernet Subsystem IP 使用

此时,只需其中 1 个 IP 核内部的共享资源(MMCM、QUADPLL、GTX 参考时钟等)便可以满足所有 IP 核的需求,即选择将共享资源包含在 IP 核内部。当设计中只包含 1 个此 IP 核时,应当将可共享的逻辑资源和硬件模块包含在 IP 核内部,这样会减少所生成的模块数量,简化设计。将IP 核AXI-Stream 的用户数据接口位宽设为 64bit,此时对应用户接口时钟频率为 156.25MHz。将 GTX 动态重配置端口 DRP 的时钟设为 100MHz, Debug 功能不作使用,如下图。

2024-01-09 10:25:01 563

原创 基于FPGA的万兆以太网学习(1)

万兆(10G) 以太网测速视频:SFP+屏蔽笼可以插入千兆或万兆光模块。SFP+信号定义与 SFP 一致。

2024-01-09 10:04:01 1238

原创 STM32 ADS1284的开发学习

当施加单个同步脉冲(上升沿)时,该器件以与脉冲同步模式相同的方式重新同步。如果向SYNC引脚施加额外的脉冲,则从前一个脉冲经过的时间必须是输出数据速率的整数倍,否则将导致重新同步。ADS1284可以同步到外部事件,如果同时施加同步脉冲,还可以将多个ADS1284设备同步在一起。此外,还有两种同步模式:脉冲同步和连续同步。在脉冲同步模式下,ADS1284在每个同步事件时无条件同步。在连续同步模式下,第一次同步是无条件的,此后,仅当下一个SYNC引脚边沿未以数据速率的整数倍发生时,ADC才会重新同步。

2024-01-02 14:52:00 443

原创 FPGA LCD1602驱动代码 (已验证)

它由若干个 5X7 或者 5X11 等点阵字符位组成,每个点阵字符位都可以显示一。1602 液晶也叫 1602 字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵。个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义 CGRAM,显示效果也不好)。在液晶屏第一行显示“HELLO FPGA 1234!

2023-12-30 10:34:16 717

原创 STM32学习 IIC读写EEPROM AT24C02

24C02 是一个 2K bit 的串行 EEPROM 存储器,内部含有 256 个字节。在 24C02 里面还有一个 8 字节的页写缓冲器。该设备的通信方式 IIC,通过其 SCL 和 SDA 与其他设备通信上图的 WP 引脚是写保护引脚,接高电平只读,接地允许读和写,我们的板子设计是把该引脚接地。每一个设备都有自己的设备地址,24C02 也不例外,但是 24C02 的设备地址是包括不可编程部分和可编程部分,可编程部分是根据上图的硬件引脚 A0、A1 和 A2 所决定。设备。

2023-12-27 17:07:56 926

原创 STM32 IIC开发学习

注意:IIC 总线上传送的数据信号是广义的,既包括地址信号,又包括真正的数据信号。都是由主机发出起始信号,接着发送从机地址+1(读操作)组成的 8bit 数据,从机接收到数据验。机可以一直发送数据,也就是图中的(n byte + 应答信号)情况,直到主机发出非应答信号,从。IIC 总线进行数据传送时,时钟信号为高电平期间,数据线上的数据必须保持稳定,只有在。那么在验证是自己的设备地址后,从机就会发出应答信号,并向主机返。该信号由主机发出,在起始信号产生后,总线就会处于被占用状态,准备数据。

2023-12-27 15:27:14 1105

原创 STM32 AD5693R开发

2线串行总线协议的工作方式如下:1.当SCL为高电平时,当SDA线路发生高到低转换时,主机通过建立启动条件来启动数据传输。以下字节是地址字节,它由 7 位从地址组成。与发送地址对应的从地址在第 9 个时钟脉冲期间将 SDA 拉低(这称为确认 (ACK) 位)进行响应。在此阶段,总线上的所有其他设备保持空闲状态,而所选设备等待数据写入或读取其移位寄存器。2. 数据通过串行总线以九个时钟脉冲(八个数据位后跟一个确认位)的顺序传输。

2023-12-25 16:28:01 1110

原创 STM32 IIC温湿度传感器(STH45)实验

精度 ΔRH = ±1.0 %RH, ΔT = ±0.1 °C• 平均电流:0.4 μA,空闲电流:80 nA• I2C FM、CRC 校验和、乘法。I2C 地址。• 专利保护选项 [1]、PTFE 膜和可拆卸保护盖• 工作范围:0 …100 %相对湿度,−40…125 摄氏度• 在冷凝环境中功能齐全• 动力加热器,真正的NIST可追溯性• JEDEC JESD47 认证 • 符合 ISO 17025 : 2017 的传感器专用校准证书,3 点温度校准。

2023-12-22 15:38:22 841

原创 STM32随机数发生器(RNG)实验

2023-12-16 17:07:32 355

原创 STM32 窗口看门狗(WWDG)实验

2023-12-14 10:24:42 376

ZYNQ BRAM实现PS与PL数据交互

有时CPU需要与PL进行小批量的数据交换,可以通过BRAM模块,也就是Block RAM实现 此要求。本章通过Zynq的GP Master接口读写PL端的BRAM,实现与PL的交互。在本实验中 加入了自定义的FPGA程序,并利用AXI4总线进行配置,通知其何时读写BRAM。 以下为本实验原理图,CPU通过AXI BRAM Controller读取BRAM数据,CPU仅配置自定义 的PL BRAM Controller 的寄存器,不通过它读写数据。

2024-04-23

ZYNQ-PL读写PS端DDR数据

PL 和PS的高效交互是zynq 7000 soc开发的重中之重,我们常常需要将PL端的大量数 据实时送到PS端处理,或者将PS端处理结果实时送到PL端处理,常规我们会想到使用DMA 的方式来进行,但是各种协议非常麻烦,灵活性也比较差,本节课程讲解如何直接通过AXI总 线来读写PS端ddr的数据,这里面涉及到AXI4协议,vivado的FPGA调试等。

2024-04-23

基于FPGA的万年历实验

基于FPGA的万年历实验 verilog quartus ii lcd1602 显示

2024-01-09

基于FPGA的打地鼠实验

地鼠随机出现,通过按键打地鼠。 错误标志为高时,蜂鸣器发出滴滴声 verilog quartus II工程 //计数器对50MHz时钟计数,计数周期为0.5s always @(posedge clk or negedge rst_n) begin if(!rst_n) alarm_cnt <= 25'd0; else if(alarm_cnt < 25'd12500) alarm_cnt <= alarm_cnt + 25'd1; else alarm_cnt <= 25'd0; end

2024-01-09

FPGA 篮球计分器设计

该模块与我们的 FPGA 开发板搭配可实现电子篮球计分牌的功能 1.可显示 A 队 B 队的得分,通过按键实现加分减分功能 2.可显示 A 队 B 队的暂停次数,犯规次数 3.可显示每一节比赛的时间 4.可显示 24 秒倒计时 verilog设计

2023-12-30

Vivado DDS IP核仿真

Vivado DDS IP核仿真

2023-10-11

05-SFP-GTX.rar

aurora 8b10b 光纤传输

2023-09-22

基于FPGA 的cordic算法实现sin和cosine波形发生器

CORDIC算法原理利用简单的移位就实现,主要用于三角函数、双曲线、指数、对数的计算,在以二进制操作为基础的FPGA硬件中就显得尤为重要。虽然现在的fpga有了集成IP核,但是对于其基本原理还是需要关注的。 基于个人理解,本文主要对该算法进行简单推导,同时利用matlab进行仿真,并在fpga中实现。

2023-07-03

基于FPGA的cordic算法实现DDS-sincos

verilog实现cordic算法产生dds sin和cos波形产生。 CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。 本文是基于使用Verilog HDL设计实现Cordic算法,实现正弦、余弦、反正切函数的实现。将复杂的运算转化成RTL擅长的加减法和乘法,而乘法运算可以用移位运算代替。Cordic算法有两种模式,旋转模式和向量模式。可以在圆坐标系、线性坐标系、双曲线坐标系使用。本文初步实现在圆坐标系下的两种模式的算法实现。

2023-07-03

基于FPGA驱动液晶显示器12864单色图片显示设计验证

基于FPGA驱动液晶显示器12864单色图片显示设计验证 包含verilog代码,quartus II工程的实现以及说明文档。 内容齐全,下板测试无误。 lcd1864 FPGA驱动 ,iic接口

2023-06-02

基于FPGA的五子棋游戏

FPGA verilog实现,vga显示,五个按键操作方向

2023-03-05

基于FPGA的64位8级流水线加法器

64位8级流水线加法器,即是将64位拆成8个8位进行运算,最后将8个8位运算的结果相加得出最后的和和进位位。采用8级流水线进行加法运算,则从第一次输入两个加数的第一个时钟起,需要第8个时钟周期对应的和才输出来,之后源源不断的输入加数,则和也不断的输出,如下图所示: 8级流水线需要将加法运算拆分成8个时钟周期来完成,每个时钟周期需要将前面计算得到的和、还未进行计算的加数进行缓存,由此,比如第1个8位计算得到的和就需要缓存7次,第2个8位计算得到的和就需要缓存6次,以此类推。同时,还要将未进行计算的加数进行缓存,比如[63:56]这个8位就需要缓存7次,[55:48] 这个8位就需要缓存6次。 第1个时钟周期:计算第1个8位的和,并加上前一个的进位位。缓存前面得到的和、未进行计算的加数 第2个时钟周期:计算第2个8位的和,并加上前一个的进位位。缓存前面得到的和、未进行计算的加数 第3个时钟周期:计算第3个8位的和,并加上前一个的进位位。缓存前面得到的和、未进行计算的加数 第4个时钟周期:计算第4个8位的和,并加上前一个的进位位。缓存前面得到的和、未进行计算的加数 第5个时钟周期:计

2023-03-05

ICC lab0-gui

icc学习 lab0_gui

2023-01-03

smic.18工艺的memory compiler

分享一个适用于smic.18工艺的memory compiler,亲测可用,我的运行环境是simics 3.04 + solaris 10, 具体的内容可以下下面的截图,包括分享的文件夹内容截图和MC正常运行并且成功生成sram的截图,有需要的朋友可以下载,希望能帮到各位

2022-12-15

基于FPGA的DS1302设计 quartus II

FPGA读写DS1302 RTC实验Verilog逻辑源码Quartus工程文件+文档资料, FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。 DS1302 FPGA module top( //sys input clk, input rst_n, output rtc_sclk, output rtc_ce, inout rtc_data, output [5:0] seg_sel, output [7:0] seg_data ); wire[7:0] read_second; wire[7:0] read_minute; wire[7:0] read_hour; wire[7:0] read_date; wire[7:0] read_month; wire[7:0] read_week; wire[7:0] read_year; seg_bcd seg_bcd_m0( .c

2022-12-01

基于FPGA MIPS CPU的设计

FPGA 1,Vivado工程 2,verilog代码 3,四个工程 4,手把手一步一步教你学习MIPS 设计CPU

2022-11-21

Synopsys DC工具学习 11课源码

Synopsys DC工具学习 11课源码 从入门到精通

2022-10-19

远程无线电子钢琴(基于Basys 3 FPGA开发板)

远程无线电子钢琴(基于Basys 3 FPGA开发板)

2022-07-14

基于FPGA实现坦克大战游戏 basy3

本设计是基于Xilinx Basys3的坦克大战游戏,通过Basys3板卡控制“坦克”的移动和射击,由拨码开关控制游戏的开始、模式选择等。游戏分为经典模式和无尽模式,经典模式中有4辆“敌方坦克”追击“己方坦克”,被击中后血量减一,直至血量为零后游戏终结,同时每击毁5辆坦克可使血量加一;无尽模式中以时间为游戏进度,倒计时结束后游戏终止,两种模式下击毁的坦克数均显示在开发板的数码管上。同时设置了道具机制,游戏中可随机掉落“加速”、“激光”、“冻结”等不同的道具,分别对应不同效果,丰富了游戏体验。 vivado basy3 verilog

2022-07-04

FPGA与DS18B20温度传感器的通信实现

FPGA与DS18B20温度传感器的通信实现 quartus II VHDL 资料齐全

2022-06-27

基于FPGA的序列检测器

基于FPGA的序列检测器 quartus II VHDL 文档说明

2022-06-27

基于FPGA的信号发生器

设计一款基于FPGA的芯片信号发生器,利用Verilog语言实现信号发生器的各个模块单元, 实现的硬件要求: 正弦波、三角波、方波等; 原理图,文档说明,各种资料齐全

2022-06-27

基于FPGA误码检测系统设计

基于FPGA误码检测 verilog quartus II

2022-06-24

FPGA 正弦波进行2ask,2fsk,2psk,2dpsk调制

能够发射正弦波 对发出的正弦波进行2ask,2fsk,2psk,2dpsk调制 调制时用到PN序列(伪随机序列) 步骤: 第一步:产生两个频率不同的载波信号 第二步:编写2ask,2fsk,2psk,2dpsk模块 第三步:编写伪随机序列产生模块 第四步:将所有模块连接起来

2022-06-24

基于FPGA的数字秒表设计

.1设计要求 (1) 能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒; (2) 计时精度达到10ms; (3) 设计复位开关和启停开关,复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。 1.2数字秒表设计的目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 有设计报告 quartus II

2022-06-23

基于FPGA的fir数字滤波器。

任务: 设计基于FPGA的数字滤波器。 要求: 1、 详细描述数字滤波器的工作原理和设计方法; 2、 利用半成品硬件,构成具有D/A功能的功能平台; 3、用VHDL语言编写FIR数字滤波器并下载至所设计硬件上做验证; 4、对结果做相应的理论分析。 verilog quartus ii 实验文档详细

2022-06-23

基于FPGA的实现一款简易电子密码锁

1、设计一个密码锁的控制电路,当输入正确密码时,输出开锁信号以推动执行机构工作(用FPGA实现直流电机控制),用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; √ 2、在锁的控制电路中储存一个可以修改的 4 位密码,当开锁按钮开关(可设置成 6 位至8位,其中实际有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁; 3、从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续 20 秒的报警信号。√ 目标:用FPGA实现一款简易电子密码锁 VHDL

2022-06-22

基于FPGA的任意四位除法器

基于FPGA的任意四位除法器 根据公式:被除数=商数·除数+余数,将被除数减去除数,每减一次,商的中间结果加一,直至被除数等于或者小于除数,运算完成,此时,被除数被减剩的结果就是余数。 根据以上的思想,设计由加法器和减法器组成的除法器。 由于是任意四位除法器,所以输入的被除数和除数存在有符号和无符号的情况,设计中引入一个sign信号,当该信号被置为‘1’时,进行的是有符号运算,被置为‘0’时,进行的是无符号的运算。

2022-06-22

基于FPGA的任意波形发生器

1. 了解现代电子设计EDA技术,掌握数字电路、VHDL语言、QUARTUS II软件等相关知识,能够应用EDA软件进行任意信号发生器的设计与仿真。 2. 任意信号发生器功能:能够产生一定频率范围和一定幅度的正弦波、三角波、方波等常用信号,并可以根据要求产生满足特定要求的信号。 3. 应用QUARTUS II软件进行任意信号发生器的设计与仿真。

2022-06-22

基于FPGA的交通信号灯设计

基于FPGA的交通信号灯设计 任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条东西方向的主干道(简称A道)和南北方向的支干道(简称B道)构成。 十字路口交通灯控制规则为: (1) 初始状态为4 个方向的红灯全亮,时间1s。 (2) 东西方向绿灯亮,南北方向红灯亮。东西方向通车,时间30s。 (3) 东西方向黄灯亮,南北方向红灯亮,时间5s。 (4) 东西方向红灯亮,南北方向绿灯亮。南北方向通车,时间20s。 (5) 东西方向红灯亮,南,北方向黄灯亮,时间5s。 (6) 返回(2),继续运行。 (7) 如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。 quartus II VHDL

2022-06-21

基于fpga的会议发言限时器

基于fpga的会议发言限时器 该项目通过FPGA芯片完成,分为软件和硬件设计,软件主要通过VHDL语言完成会议发言限时器,硬件设计外围电路,完成实物。 二、基本要求 1. 设置0-99分限时功能,用四位数码管显示。 2. 具有暂停和继续计时功能。 3. 最后一分钟给出报警提示,结束时也给出长音提示。计时时间误差为±0.1秒/分。 4.led灯开始时候亮起来,然后结束时不亮,并且在暂停时闪烁。 三、主要技术指标 1.电压直流5V,工作电流小于500mA。 2、完成主要功能。 3. 电路原理图。 veriog + VHDL

2022-06-21

基于FPGA的飞机的小游戏

基于FPGA的飞机的小游戏 FPGA板原理图 打飞机的小游戏,在用vhdl语言开发。通过VGA接口显示,能够显示分数,gameover等字样,己方飞机可控制左右移动,敌方飞机自动移动,碰边界会变方向变速。得分越高,速度越快,难度越大。 quartus II

2022-06-20

基于FPGA的电压表与串口通信

基于FPGA的电压表与串口通信 包含FPGA电路板原理图 TLC549 电路板操作与文件说明文档 quartus II工程 包含电路图 结果文档

2022-06-20

基于FPGA的XADC实现

FPGA Basys3 XADC verilog代码 xilinx

2022-06-10

基于fpga的波形发生器设计

开发语言:VHDL 功能:产生sine cosine 方波 三角波 开发软件:Quartus II 包含FPGA原理图,仿真波形,操作文档,非常全

2022-05-24

基于FPGA的spwm产生

基于FPGA的spwm产生 VHDL代码 quartus II工程

2022-05-23

基于FPGA的spi通信接口设计

基于FPGA的spi通信接口设计 包含原理图,管脚分配 quartus ii工程 verilog源码 开发板原理图均在里边。

2022-05-23

基于FPGA的LCD1602的流动显示VHDL

基于FPGA的LCD1602的流动显示VHDL FPGA VHDL语言 LCD1602控制 流动显示 有文档详细说明

2022-05-06

基于FPGA的I2C控制器设计

FPGA iic控制器 包含电路原理图 IIC教程,电路板操作说明,流程图 拨码开关选择要发送到FPGA外面的数据, 然后FPGA通过IIC通信,把数据传送到外面 的IIC芯片里面,最后再通过IIC通信把这个 数据读回来,显示在LED上。 后改为: 4个按键为二进制数,按下为1,没按下为零,就是XXXX, 因为IIC传送的是8bit的数,所以就将这四个按键按下的 情况显示在在4个LED上面,就是用4个LED代表4个按键的 按下情况,这样就用按键取代了拨码开关,我也不用去 做个板插上去。 设计语言:VHDL

2022-05-06

基于FPGA的fir滤波器设计

文件说明 1 代码文件 1 QuartusII工程文件 2 仿真文件 2 系统框图 3 DDS正弦信号产生 3 DDS的原理 3 DA转换和滤波 6 正弦波形 6 波形的产生 6 波形的存储 8 FIR滤波器原理 15 FIR原理以及设计方法 15 FIR滤波器主要有直接型、级联型、线性相位型: 15 FIR滤波器的设计方法 17 设计框架 19 Matlab软件导出需要的滤波器系数过程 19 Modelsim仿真 20 打开软件 20 打开工程 21 编译 21 选择仿真文件 22 开始仿真 25 仿真结果 26

2022-04-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除