自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (106)
  • 收藏
  • 关注

空空如也

ISO15765-1-2-3-4全套中英文版本

ISO15765-1-2-3-4全套中文文档包括对应的英文版本 已打包在一起下载,适用于诊断系统设计、诊断仪开发、车辆远程诊断等学习。

2019-02-15

微服务设计 [高清版].pdf

微 服 务 设 计 [ 高 清 版 ] .pdf 扫 描 版,可 以 参 考本书全面介绍了微服务的建模、集成、测试、部署和监控,通过一个虚构的公司讲解了如何建立微服务架构。主要内容包括认识微服务在保证系统设计与组织目标统一上的重要性,学会把服务集成到已有系统中,采用递增手段拆分单块大型应用,通过持续集成部署微服务,等等。

2019-02-14

TMS320F28335 DSP最小系统SchDoc原理图和PcbDoc印制板图

DSP TMS320F28335 最小系统图 PCB和原理图都有 PCB图做的是模块化的 很小 可以拿来自己用

2019-02-13

ANSOFT Q3D EXTRACTOR q3d_full_book (巨详细介绍)

ANSOFT Q3D EXTRACTOR 的教程.这个软件用于对三维结构的参数提取.之后对其进行电磁仿真.

2019-02-12

库存管理系统web版全部源码。

这是我毕业设计一个库存管理系统web版。 1,下载解压后,请建虚拟根目录RC. 2,打开part3_samples/SQLService, 3,打开SqlService.asmx。文件另存为SqlService.wsdl. 4,运行mSqlprocxy.bat.注意重新编辑outdir的目录位置为本地文件的绝对路径。 演示见,www.coldest.cn

2019-02-10

Keil MDK 5.22 注册机  亲测可用

Keil MDK 5.22 注册机,亲测可用!!!

2019-02-09

数据结构与算法分析 : C++描述(第三版) PDF

作者: [美]Mark Allen Weiss 出版社: 人民邮电出版社 副标题: C++描述(第三版) 译者: 张怀勇 内容简介 · · · · · · 《数据结构与算法分析:C++描述(第3版)》是数据结构和算法分析的经典教材,书中使用主流的程序设计语言C++作为具体的实现语言。书的内容包括表、栈、队列、树、散列表、优先队列、排序、不相交集算法、图论算法、算法分析、算法设计、摊还分析、查找树算法、k-d树和配对堆等。《数据结构与算法分析:C++描述(第3版)》适合作为计算机相关专业本科生的数据结构课程和研究生算法分析课程的教材。本科生的数据结构课程可以使用《数据结构与算法分析:C++描述(第3版)》第1章~第9章,多学时课程还可以讲解第10章;研究生算法分析课程可以使用第6章~第12章。 作者简介 · · · · · · Mark Allen Weiss 1987年在普林斯顿大学获得计算机科学博士学位,师从著名算法大师Robert Sedgewick,现任美国佛罗里达国际大学计算与信息科学学院教授.他曾经担任全美AP(Advanced Placement)考试计算机学科委员会的主席(2000-2004).他的主要研究方向是数据结构,算法,

2019-02-08

Java开发技术大全(500个源代码).

代码范例列表 第1章 示例描述:本章演示如何开始使用JDK进行程序的开发。 HelloWorldApp.java 第一个用Java开发的应用程序。 firstApplet.java 第一个用Java开发的Applet小程序。 firstApplet.htm 用来装载Applet的网页文件 第2章 示例描述:本章介绍开发Java的基础语法知识。 accumulationByDoWhile.java 用do~while语句写的累加程序 accumulationByFor.java 用for语句写的累加程序 accumulationByWhile.java 用while语句写的累加程序 boolExample.java 演示boolean变量的程序 charExample.java 演示char变量的程序 compare.java 演示前缀、后缀自加之间区别的程序 constCharExample.java 演示转义字符 converseNumber.java 逆向输出数字 daffodilNumber.java 求水仙花数 division.ja

2019-02-07

《项目管理知识体系指南》(PMBOK指南)第6版 简体中文版

《项目管理知识体系指南》(PMBOK指南)第6版 简体中文版 《项目管理知识体系指南》(PMBOK指南)第6版 简体中文版

2019-02-06

TTF字体库裁剪&TTC转TTF字体工具

可以对TTF字体库进行裁剪,保留自己需要的部分字符,从而大大减小字库的大小,适合移动开发。同时支持TTC格式转TTF格式。

2019-02-04

Matlab鲁棒控制工具箱(Robust Control Toolbox)

鲁棒控制工具箱提供了一系列的函数和工具以支持带有不确定元素的多输入多输出控制系统的设计。在该工具箱的帮助下,你可以建立带有不确定参数和动态特性的LTI模型,也可以分析MIMO系统的稳定性裕度和最坏情况下的性能。 该工具箱提供了一系列的控制器分析和综合函数,能够分析最坏情况下的性能及确定最坏情况下的参数值。利用模型降阶函数能够对复杂模型进行简化。同时提供了先进的鲁棒控制方法,如H2、H∞、LMI、μ分析等。

2019-02-03

net.mindview.util.jar

java编程思想,net.mindview.util.Print;net.mindview.util.TextFile。

2019-02-02

建行总行信息技术类09、10、11三年的笔试回忆资料

建行总行信息技术类09、10、11三年的笔试回忆资料 淘遍了整个网络才找到的,绝对值得下载! 建行总行信息技术类09、10、11三年的笔试回忆资料 淘遍了整个网络才找到的,绝对值得下载!

2019-01-29

最完善的免费开源的蓝牙串口助手

如果你觉得这份android蓝牙串口助手源码非常有价值,只是我半个多月做出来的,希望对你有用,献给哪些为了蓝牙串口默默牺牲青春的IT战友们,如果你们能把它做得更完美,欢迎把源码发给我,可以直接运行。

2019-01-28

spring-hibernate3.jar

spring-hibernate3.jar包,直接导入路径即可,解决Class 'org.springframework.orm.hibernate3.LocalSessionFactoryBean' not found这个错误

2019-01-27

Java Web版酒店管理系统源码[mysql数据库]

酒店管理系统分为前台和后台两个部分,其中后台供管理员管理系统之用,包括客房类型设置模块、客房设置模块以及操作员设置三个子模块,具体的功能模块如下。 客房类型设置模块:该模块用来管理酒店的所有客房类型,包括新增客房类型、编辑已有客房类型、删除客房类型等功能。 客房设置模块:该模块用来管理酒店的所有客房信息,包括新增客房、编辑已有客房、删除客房等功能。 操作员设置模块:该模块用来管理酒店的操作员信息,包括新增操作员、编辑已有操作员信息、删除操作信息等功能。 系统前台供酒店所有工作人员使用,包括入住登记模块、结账模块、预定模块、客户管理模块以及业务统计五个模块。具体的功能模块如下。 入住登记模块:该模块用来登记客户的入住信息,其中入住信息包括登记信息、客人信息以及费用信息三部分。 结账模块:该模块用来处理客户的退房信息,只需要知道客户所住的房间号码,就能进行退房结账。 预定模块:该模块用来处理客户的预定信息,除了可以新增预定信息外,还可以对已有的预定信息进行管理。 客户管理模块:该模块用来管理客户的登记信息,包括新增客户信息、编译已有客户

2019-01-26

emoji图片和编码表

467个emoji的文件和编码表数据 包括Unicode编码,UTF8编码,UTF16编码,SBUnicode编码

2019-01-25

jsp毕业设计BBS论坛系统(源码+论文)

基于jsp的BBS论坛系统,毕业设计+毕业论文,含源代码

2019-01-22

Pylon相机采集

博客配套文件,演示了利用Pylon SDK进行相机采集的过程,使用MIL完成界面显示,采集部分封装成了类,可以直接重用。测试相机为Basler相机。

2019-01-20

微信h5支付和微信公众号支付demo 下载

微信外浏览器h5支付,微信公众号支付,别人已经封装好的jar还不错,经过测试可以使用,需要注意的地方看我博客 微信外浏览器h5支付,微信公众号支付,别人已经封装好的jar还不错,经过测试可以使用,需要注意的地方看我博客

2019-01-19

bbs论坛系统毕业设计

bbs论坛系统毕业设计<br>本人刚答辩完 所以上传上来,供大家学习<br>包括:原码、论文、开题报告<br>经测试本系统能正常运行

2019-03-04

C8051F全系列代码例程

目前C8051F系列单片机最全最完整的程序例程,包含了各个型号单片机的驱动程序,代码稍加修改就可以使用,是参考学习的好东东

2019-03-02

html5调用本地摄相头拍照上传相片

本项目是一个html5调用本地摄相头拍照上传相片的示例代码,项目很少,代码简单,应该一看就会的,需要用chrome浏览器或opern浏览器,版本要高一些才行。

2019-03-01

openssl已编译好的静态库

openssl,已编译好的动态和静态库

2019-02-28

MATLAB有限元分析与应用——包含完整的源代码

MATLAB有限元分析与应用(包含完整的源代码)

2019-02-27

vs2010教程

vs2010入门教程,如果对vs2010不是很熟悉的可以下载看看。

2019-02-26

Visual Object Net++

Visual Object Net++一款入门级的模拟软件,用于Petri网建模仿真的软件,支持时间以及混杂网。

2019-02-25

复变函数及应用(第七版)答案

复变函数及应用(第七版)的答案,是英文原版的教材,机械工业出版社

2019-02-24

UNIX.shell范例精解(第4版) 高清pdf

内容简介 在本书的再版中,Quigley对她的经典著作进行了全新的改版,增加了当前shell程序员所需的最新内容——使用bash进行Linux shell编程。 本书汇聚了Quigley 21年来的 shell编程教学经验。书中不仅展示了能帮助读者快速入门的大量精选范例,还系统地讲解了UNIX与GNU/Linux上的awk、sed及grep等内容。在Quigley的指导下,即使是shell初学者也可以学会UNIX/Linux上所有主流shell的编程知识,包括5种shell的交互式命令及脚本编程,从而领会作者的编程思路。本书可作为shell编程的入门教程,也是系统管理员、程序设计人员的必备参考。 作者简介 Ellie Quigley 著名的“Perl多媒体电脑教室”的创始人、杰出的讲师,以讲授Perl和UNIX shell编程课程而享誉硅谷。她的著作包括Perl by Example,Third Edition(Prentice Hall PTR,2001)、UNIX Shells by example和Linux Shells by Example(Prentice Hall PTR,2000)。在她的公司网站(www.ellieq.com)

2019-02-23

flash as3.0 空战游戏源码

这是本人的第一个游戏,刚接触flash,这只是一部理论性的游戏,比较粗糙,图片也是在网上下的,特此分享一下,供刚接触flash得人学习 游戏说明: w,a,s,d控制飞机飞行,j发送导弹,k发送必杀技闪电 20秒后会出现boss.杀死boss后敌机速度增加,游戏难度提升

2019-02-23

八数码问题C代码 可直接运行

八数码问题代码,用全局择优解决八数码问题,启发函数采用曼哈顿路径和计算不同节点两种方法。对学习人工智能图搜索应该很有帮助。

2019-02-22

激光原理及应用

这是《激光原理及应用》的PPt内容很全!

2019-02-21

bpsk qpsk 16qam 64qam调制解调 包括误码率曲线,眼图和星座图

bpsk qpsk 16qam 64qam频带上的调制解调信号包括误码率曲线,眼图和星座图。

2019-02-21

Micro SIM卡座与TF卡座PCB封装(带3D)

SIM卡封装,Micro SD卡封装;TF卡封装;3D

2019-02-19

北京邮电大学矩阵论课件

北京邮电大学研究生矩阵论课程的课件,得来不容易!

2019-02-19

随机森林用于分类matlab代码

根据随机森林的原理实现的matlab代码,里面有非常详细的注释,几乎每行都有,针对分类问题,可以运行,可以根据需要,修改到自己的算法中。

2019-02-18

linux下V4L2+SDL摄像头采集显示程序

使用video4linux2编程接口,获得笔记本摄像头影像后,用SDL显示在X Window下。 关键点有二:其一,从video4linux2的编程接口笔者了解到其笔记本摄像头支持YUYV视频帧格式,显示在 SDL上需要将YUYV格式转换成SDL支持的显示格式RGB。 其二,要学会使用video4linux2获得摄像头YUYV数据流的程序框架。 V4L2接口可参考:http://blog.csdn.net/seven407/archive/2011/05/07/6401792.aspx

2019-02-18

信息论与编码答案,王育民版

希望对同学们有帮助,虽然不全但典型的都有。

2019-02-17

libmysqlclient.so.18.0.0

CDH 安装至hue连接检查报错,查看日志缺少libmysqlclient.so.18 ,也就是我共享的这个文件的软连接。 使用前记得解压缩,并添加软连接

2019-02-17

《数字信号处理》美Lyons著-朱光明译

这也是一本广受好评的数字信号处理图书,作者R. Lyons同时还是IEEE信号处理杂志的副主编,负责信号处理技巧专栏。这本书不仅写得通俗易懂,而且与通用的教材结合非常紧密,堪称是学院派与实用性完美结合的典范。实际上,这本书也重新定义了教材著作的范式,成为优秀教科书的代表。与smith的书相比,数学公式稍多一些,但不难理解。例子也非常丰富,还提供了很多的信号处理技巧。这本书的英文版在2010年已经出了第三版。中文版好像只有第二版,译者是朱光明。不过中文版现在好像到处无货,本文档是中译本的pdf扫描件,是本人在淘宝是花高价购买的,绝对超值!看了这本书,就不会觉得数字信号处理难了!

2019-02-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除