自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(129)
  • 资源 (11)
  • 收藏
  • 关注

原创 A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /

A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB / clock site pair. The clock component <clk_IBUFG_BUFG> is placed at site <BUFGMUX_X2Y11>...

2023-10-27 14:44:00 252

原创 esp32 环境配置

1.官方idf 功能强大 但是eclipse 容易崩溃 不是很方便2.vscode 扩展里面很容易找到esp32的扩展,安装后目测需要梯子,不然安装会失败。安装完成打开自己的文件夹,容易出现找不到编译器的故障。实测发现只要找到安装的库随便打开一个编译后,系统似乎可以识别库和工具的路径,再回来编译自己的文件就可以3.vscode 如何添加新的c文件,eclipse 添加新的文件非常轻松。...

2023-05-15 15:06:00 162

原创 电感细节

2023-04-29 13:35:00 123

原创 spdif

https://www.epanorama.net/documents/audio/spdif.html

2022-09-05 08:35:00 223

原创 type '' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file,...

这种情况一般是 工程文件没有添加相应的文件

2022-09-03 16:10:00 488

原创 Line 167. parse error, unexpected IS, expecting SEMICOLON ISE14.7

synthesize-xst 右键进入选项 other xst command line options -use_new_parser YES 开启新特性

2022-07-23 10:53:00 294

原创 辉硭微 FMT 单片机bug 总计

1.tim2 故障2.在1T时种下,io控制可能跟不上

2022-03-10 14:39:00 262

原创 appwizard 修改文本崩溃

对id等小部件修改会导致软件崩溃。----请关闭中文路径

2022-01-24 19:43:00 190

原创 管中窥豹之单片机

本篇内容从汇编角度来理解单片机运作原理青春懵懂的少年是排斥汇编的,主要因为自己的无知和轻信。-无知是知识匮乏以致无法了解一件事物的全貌,也就无法知道他的价值。轻信是容易轻信他人的只言片语,以对一件事物产生偏见。以上汇编看,ACC累加器是一个重要的部件。很多操作都是先把数据赋值到acc,再由acc路由到其他部件...

2021-12-06 16:03:00 492

原创 无线通讯 cmt2150A 简介 1527协议

一个数据位需要4*4 =16 个clk传统数据包 32sym=32*4 osclk+20bits*4sym*4 osclk+ 5bits

2021-12-03 10:12:00 1942

原创 电源干扰来源,电源回路的重要性

1.如果对接两个不同的电源来源或者两个ldo输出,可能会产生严重的文博,原因在于,负载功率不稳定,会产生一定的噪声,当两个电源噪声不同步,强行连接到一起有些噪声因为波形共振会被加强,并且产生无法追踪的无规律的噪声。2.电源路径尽量不要用环形,原因一样。不同强度的噪声通过环形又被耦合到一起...

2021-11-15 10:14:00 2179

原创 usb blaster 无法设别

任务管理器中关掉 usb blaster任务

2021-11-05 12:06:00 93

原创 矩阵的旋转

热爱数学的 请移步这里1.向量 表示为xy,在坐标系中往往表示为箭头终点位置比如[2 3] x=2,y=3。2.矩阵相乘,一般来说都是向量的旋转,向量可以负数表示,i j的标量表示为向量在xy方向的缩放,向量的旋转就是 缩放量的线性放大和缩小。所以只要知道缩放后(旋转后)的一个向量(i,j帽),用之前的向量相乘,就可以得到每一个缩放后的向量。原来的i,j也作为向量旋转,我们要考究的就是...

2021-10-21 13:13:00 229

原创 视频压缩总计

1..硬算法 通过固定处理,裁剪颜色(包括位数降低和编码比如rgb444->ypbpr)和长度,替换帧数(相同或者相邻几帧抽取一张扔掉),压缩画面(抽样),等等2..字典法 在以上预处理的基础上,对编码后的数据。通过相关推演算法,数据耦合压缩()。处理后的数据进行字典压缩。  1.一般字典 使用公共字典或嵌入专业字典,这类字典比较完善,所有的视频都可以用户。缺点是不够专业,冗余,压缩...

2021-10-12 08:29:00 69

原创 quartus 实践

目前最好的教程是正点原子,黑金等稍逊,其他品牌就是纯粹的verilog ,很少涉及强大的 platform 。对于软核 一般两个总线,数据总线连接所有乱七八糟的外设接口,具体每个接口内部我看了,乱七八糟。但是他们就是能工作,机制不了解。ram和rom 要同时连接指令总线和数据总线(但是如果rom有两个接口,数据命令总线分开 哈佛结构/冯诺依曼?)。...

2021-10-11 14:57:00 113

原创 fpga vhdl 基础知识 根据2-8原则,你只需要熟悉掌握2成基本操作就可以熟练地实现大部分基本功能...

vhdl 稍微老久一些,用起来还可以,受c语言影响大,要加入头文件,声明函数 但又不太像c,不仅仅是并行不并行。存在周期比较长,像c一样 维护了很多的库文件。verilog 做的有点现代化语言便捷了一些,像python java, 用起来更像c语言。vhdl常用知识1.function 和procedure 过程区别,function 不消耗时间不能使用任何等待语句,procedure ...

2021-09-20 19:41:00 97

原创 视频知识

enhanced 能呈现0-255灰阶

2021-09-17 10:56:00 60

原创 spatan6 ddr2

1.对于sparan6 ddr驱动 ,如果输出接到iobuf上,输入为三态ddr,t信号也需要ddr2一样的驱动。对于无对齐模式,默认c0/c1上升沿读取数据,类似下降沿输出数据。对于c0 ,明显是在c0上升沿同时加载数据,似乎是在下降沿输出数据。(两个相反的时钟)c1似乎是在下降沿加载数据,上升沿输出数据。数据延迟一个周期输出。...

2021-09-10 10:46:00 161

原创 ise 界面参数

7.5 实现属性参数设置选项功能1)翻译属性(Translate Properties):a、使用位置约束(Use LOC Constraints):指定在输入网表或者UCF文件中是否使用位置约束,当设置为否时,NGDBuild忽略任何会导致错误的无效位 置信息, 在命令行中用-r选项运行NGDBuild同样可以设置此属性为Flase。默认情况下,此属性是设置为True,表示翻译...

2021-09-07 16:26:00 1374

原创 echo

echo "It is a test" > myfile显示结果定向至文件echo none > /sys/class/leds/sys-led/trigger // 改变 LED 的触发模式echo 1 > /sys/class/leds/sys-led/brightness // 点亮 LEDecho 0 > /sys/class/leds/sys-l...

2021-08-27 16:08:00 103

原创 将博客搬至CSDN

将博客搬至CSDN

2021-08-10 07:42:00 45

原创 c语言编译器

本栏目将为设计的cpu 开发一套简单的c语言编译器

2021-08-04 11:10:00 107

原创 cpu设计实践1

本栏目将实现一个简单cpu(8-32位)的设计,使用xinlink spatan6平台

2021-08-04 11:09:00 65

原创 数字滤波器思想

对于模拟滤波器我们比较熟悉  1.无源滤波器(没有能量源头的滤波器) 一般使用阻容原件、电感等组成 高通、低通、带通(高通 高频通过,低通 低频信号通过。带通 中间频率通过,一般来说常见参数为3DB,3DB衰减等 这类滤波器对信号有较大衰减)  2.有源滤波器(有额外的能量补偿,1.一般使用运放来对衰减的信号进行补偿。2.运放的放大效应,对其反馈回路进行处理,比如加入电容增强高频回路反馈,...

2021-07-31 16:44:00 89

原创 comm tools

RTL:寄存器传输级别LRM:语言参考手册FSM:有限状态机EDIF:电子数据交换格式LSO:库搜索目录XCF:XST 约束条件1. par -ol. high 命令总是 '-'开头,参数紧跟其后2.如果 一个命令被使用两次,请出现两次3.参数顺序严格按照说明,不能乱序出现4. 命令认大小写4.常见命令  -f :执行命令文件  -h help  -in...

2021-07-28 20:23:00 863

原创 变压器 电感浅谈

很多人小时候就接触变压器,很神奇。居然可以调整电压,但是对其原理却一无所知。初高中,可以了解他的几个基本性质。对于标准变压器1.输入功率=输出功率2.电压与匝数比成正比,电流与匝数比成反比那时候知识只能支撑到这里,再多没有了。等工作后我们实际应用时会遇到难题如下1.既然匝数比等于电压比 举个例子:输入220V,输出110V。我们可以使用 2:1匝数比,为什么输入不能只使用2...

2021-07-13 07:31:00 3778 1

原创 hdmi 随笔

从图片来看,每张图片开始传输的是45像素的垂直同步,1.控制数据贯穿所有时间,没个不是控制数据的传输都被控制数据包围。控制数据还要通过控制位指示,下一个数据是数据岛还是视频信号。2.terc4 全称是 tdms误差减小编码(传输两种数据 1.4bit/per 数据岛2.12bits正经数据)3.控制时期 每个通道传输2bits, 通道0 也就是最上面的通道。传输 同步信号,其他两个...

2021-04-03 21:35:00 160

原创 ad 差分布线 等长布线

差分要素:1.原理图差分对名字后缀必须是 _n _p2.规则改动 定义差分线宽和间距

2021-02-26 12:25:00 364

原创 stm32 connot enter debug mode

dap 可以发现设备,stlink jlink 均无法发现设备,但是都不能下载。connot enter debug mode ,发现是vdda 未连接

2020-07-08 13:13:00 616

原创 你的邮箱正在被盗号者默默转发消息

qq邮箱被盗?密码被默默转移?各种信息被发送?收信规则也能转发邮件,腾讯程序员已经不是第一天zz---除了常见的修改游戏客户端导致游戏臃肿不稳定,胡乱判定删号等等经过多次更改密码也没有解决邮件被盗的问题,仔细检查了qq邮件转发里面确认没有开启转发。后来仔细研究发现是qq邮箱存在的bug。qq邮箱还有一个邮箱规则里面决然可以运行简单的代码,腾讯zz程序员越来越多和盗号的lm。盗号者域名是 maeen.cn谁有资源可以举报他...

2020-06-15 12:32:19 856

原创 stm32 串口接收一次后再也无法接受,接受都为0

经检测为串口接受后进入别的程序,开辟了2048的临时数组,因为堆栈溢出。stm32总共堆栈为

2020-02-11 14:22:00 1071

原创 ubuntu18.4 与pc notepad++ 使用nppftp

首先在linux上部署 vsftpd 安装过程可能有一些问题。我之前的一个文章有所说明。然后更改配置文件 vsftpd.conf 最简单是开启匿名登录,有时候还要开启root登录,在user文件里面(禁止登陆)。文件路径要更改好,比如匿名的地址或者本地地址。最后在虚拟机里面测试 127.0.0.1 ,如果文件正产,再在pc浏览器输入 虚拟机ip,使用ifconfig可以看到虚拟机的ip。最...

2019-11-26 00:56:00 64

原创 linux ftp ubuntu18.04 实测有效 完整方法 vsftpd

https://linuxize.com/post/how-to-setup-ftp-server-with-vsftpd-on-ubuntu-18-04/除了此链接完整有效,其他网友大都残缺不全,不完整。

2019-11-25 23:43:00 67

原创 Do you want to continue? [Y/n] Abort.

当出现这个后命令终止,无法选择 y这时候 ,在输入命令时候提前加入 -yudo apt install sysv-rc-conf -y

2019-11-25 21:20:00 162

原创 搭建riscv环境

1.第一步 clone 需要的源文件(sudo apt install git 如果你没有git) 以下都是给予ubuntu18.04$ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain或者$ git clone https://github.com/riscv/riscv-gnu-toolchai...

2019-11-12 15:33:00 276

原创 E: Could not get lock /var/lib/dpkg/lock - open (11: Resource temporarily unavailable) E: Unable to ...

E: Could not get lock /var/lib/dpkg/lock - open (11: Resource temporarily unavailable)E: Unable to lock the administration directory (/var/lib/dpkg/), is another process using it?1.进程占用 使用ps -A ...

2019-11-11 14:14:00 94

原创 stm32高级定时器1互补输出 验证代码

GPIO_InitTypeDef GPIO_InitStructure; TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure; TIM_OCInitTypeDef TIM_OCInitStructure; TIM_BDTRInitTypeDef TIM1_BDTRInitStruct; RCC_APB2Pe...

2019-10-30 11:05:00 141

原创 ad room 拷贝

当一个电路图中有多个相同组件的时候,我哦们一般使用 room格式拷贝。基本思路1.方法一 电路图使用repeat 多通道设计2.简易的赋值粘贴,事实证明简单有效。3.在pcb中删除对应一个单元的room,开始绘制。绘制完成,通过设计->room->哦通过器件产生矩形room。生成一个room。此时重点来了。要通过双击room将名字,class还原到原来的样子。不然拷贝r...

2019-07-15 12:03:00 1188

原创 九齐仿真器 无法得到准确结果

如果你在一个仿真器上上传两个以上不同程序,请断开仿真器电源。否则仿真器会出错。注意事项:1.对于某些型号芯片引脚唤醒,pa脚可能在仿真器仿真异常,但是实际测试又是ok的。不必担心。另外lvds在某些芯片也是无法仿真,另一些就可以。2.对于某些芯片pa3 在仿真器可以正常读,但是在实物上异常,所以尽量不要使用pa3作为读io。3.该芯片可以简单的模拟实现简单串口收发,ic等功能。4.数组...

2019-03-21 17:29:00 825

原创 电容相位滞后?电感超前

1.电容电流超前电压90度,指的是相对于电容来说,并不是对于整个电路。打个比方,假如电容无限小,负载电流很大,那么电容充放电能力对于巨大的负载电流来说,微不足道,对整体电流影响就会非常小。加入电容无限大,那应该就是90度了,永远充不满。放不掉。(有淘气的小伙伴问,一个电容90度。两个是不是180度,四个是不是360度?其实都是90度最多)2.对于电感,加入电感无限小那就是导线,对整体电流影响可...

2019-03-14 18:13:00 1647

JTAG-Install-BYD-V1.8.zip

byd keil 插件 最新的,上面很多人的资源都是老的不能用,很多型号没有

2021-07-15

BYD BF7615 库,安装文件,烧录指引,开发文档等

BYD BF7615 库,安装文件,烧录指引,开发文档等

2021-07-02

SiI902xA_Software_v1.3.zip

sii9022a 驱动内附重要数字图像原理,物超所值。 可以提供收费驱动移植工作(sii9022a it66121 lt8618 si9021 si9034)

2021-03-07

ATT7053AU-Hi-TrendTechnology.pdf

中文手册

2021-03-07

IT66121FN_V14_FOR_1V8.DSN

it66121 原理图

2021-03-07

IT66121_Register_List_Release_V1.0.pdf

it66121 寄存器

2021-03-07

IT66121_Programming_Guide.v1.05.pdf

it66121 开发资料 programming guide

2021-03-07

jlink修复.rar

jlink v8 v9 修复软件,主要用于修复jlink v8 v9 失误点击升级导致的固件丢失,其实是jlink内部自检发现非法id导致的自我删除

2020-06-09

sii9022a pdf手册 驱动

sii9022a pdf手册 驱动,一个基本手册,一个应用手册。请各位仔细研读,争取驱动成功。

2020-06-09

GY-271三轴电子指南针加速度资料

关于GY-271的数据手册,和一些能用的实例程序

2013-04-15

nrf24l04开发包

内部包含nrf24l01+的开发文档,示例程序,修改的一些串口发送接收程序

2013-04-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除