自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (4)
  • 收藏
  • 关注

转载 亚稳态

1.1         亚稳态发生原因      在FPGA系统中,如果数据传输中不满足触发器的Tsu和Th不满足,或者复位过程中复位信号的释放相对于有效时钟沿的恢复时间(recovery time)不满足,就可能产生亚稳态,此时触发器输出端Q在有效时钟沿之后比较长的一段时间处于不确定的状态,在这段时间里Q端在0和1之间处于振荡状态,而不是等于数据输入端D的值。这段时间称为决断时间

2016-03-14 16:03:58 829

转载 ctime头文件

推荐资料:cppreference(中文),cppreference(英文) “时间”和“日期”的概念 Coordinated Universal Time(UTC):协调世界时,又称为世界标准时间,也就是大家所熟知的格林威治标准时间(Greenwich Mean Time,GMT).比如,中国内地的时间与UTC的时差为+8,也就是UTC+8.美国是UTC-5Calendar

2015-06-02 09:21:42 1082

转载 C++文件操作

需要了解的概念[数据流][缓冲区(Buffer)][文件类型][文件存取方式][借助文件指针读写文件]需要理解的知识点包括:数据流、缓冲区、文件类型、文件存取方式1.1 数据流:指程序与数据的交互是以流的形式进行的.进行C语言文件的存取时,都会先进行“打开文件”操作,这个操作就是在打开数据流,而“关闭文件”操作就是关闭数据流1.2 缓冲区(Buffer)

2015-06-02 09:17:00 810

转载 C++测试程序执行时间代码

#include  //计时用的头文件#include using namespace std;int main() {    time_t start,end,time; /*注意计时所用的变量名称*/  /*程序开始执行,开始计时*/    start=clock();  //获得开始的时间,单位为毫秒/*程序执行过程……*/    fo

2014-12-22 16:38:14 707

原创 ncverilog使用

ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single stepncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操...

2014-12-22 13:43:09 7125 1

转载 C++中四种类型转换方式

类型转换有c风格的,当然还有c++风格的。c风格的转换的格式很简单(TYPE)EXPRESSION,但是c风格的类型转换有不少的缺点,有的时候用c风格的转换是不合适的,因为它可以在任意类型之间转换,比如你可以把一个指向const对象的指针转换成指向非const对象的指针,把一个指向基类对象的指针转换成指向一个派生类对象的指针,这两种转换之间的差别是巨大的,但是传统的c语言风格的类型转换没有区分这些

2014-12-18 15:19:43 520

转载 如何阅读SDF文件

sdf- standrad dealy format,标准延时格式文件。作为一个ASIC工程师,需要时常和这个东西打交道,比如synthesis,STA,post-simulation,eco。也算是一个非常基本的概念,但也时常发现很多工程师对此认识模糊不清,所以写写。First, delay分为cell delay 和wire delay.顾名思义,cell delay是指元器件

2014-12-03 10:04:41 14932

转载 specify block

specify block用来描述从源点(source:input/inout port)到终点(destination:output/inout port)的路径延时(path delay),由specify开始,到endspecify结束,并且只能在模块内部声明,具有精确性(accuracy)和模块性(modularity)的特点。specify block可以用来执行以下三个任务:一、描

2014-12-01 11:23:24 1000

转载 NC-Verilog Simulator

在NC自带的帮助Cadence NC-Verilog Simulator Help中都可以找到。ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single stepncverilog的三步模式为:ncvlog(编译) ncelab(建立

2014-12-01 11:22:13 3963

转载 Verilog中的$display和$write任务

1、格式       $display(p1,p2, …,pn);       $write(p1,p2, …,pn);这两个函数和系统任务的作用都是用来输出信息,即将参数p2到pn按参数p1给定的格式输出。参数p1通常称为:“格式控制”,参数p2至pn通常称为“输出列表”。$display自动地在输出后进行换行,$write则不是这样。如果想在一行里输出多个信息,可以使用$wri

2014-11-26 14:08:59 13398

转载 提高NC-Verilog仿真效率的技巧

本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC- Verilog在最大效率下仿真一个设计和测试平台。    文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件      首先确认你是否安

2014-11-25 13:35:39 5192

转载 perl 基本语法介绍

本文介绍从变量类型、操作运算符、控制叙述、子程序、I/O和档案处理、 Regular Expressions、Spectial Variables、Help、函数、总结几个部分介绍perl,只是叙述了一些Perl的基本语法一.数据类型(Data type): Perl 的数据类型大致分为四种:Scalar(变量)、Scalar Array(数组)、Hash Array(散列)、Re

2014-11-20 16:04:16 780

转载 关于C++中如何判断文件,目录存在的若干方法

在我们平时的编程时,经常需要判断文件或者目录是否存在,相对来说判断文件的存在性比较简单,目录则比较复杂。下面就详细的介绍几种方法。 首先关于判断文件的存在性:一、ifstream在C++中,可以利用ifstream文件输入流,当我们直接使用ifstream来创建文件输入流的时候,如果文件不存在则流创建失败。ifstream fin("hello.txt");

2014-11-07 17:22:23 465

转载 函数find_first_of()和 find_last_of()

目录(?)[+]string 类提供字符串处理函数,利用这些函数,程序员可以在字符串内查找字符,提取连续字符序列(称为子串),以及在字符串中删除和添加。我们将介绍一些主要函数。1.函数find_first_of()和 find_last_of() 执行简单的模式匹配    例如:在字符串中查找单个字符c。函数find_first_of() 查找在字符串中第1

2014-11-07 10:51:13 627

转载 函数在什么时候需要“引用”类型的参数

函数在什么时候需要“引用”类型的参数 (2009-08-29 15:30:13)转载▼标签: c 函数参数 引用 it分类: C/C核心提示:在什么时候将函数的参数设为引用类型??当你在函数体里要改变参数的值时,就将函数参数类型声明为引用或者指针,这样函数体里对参数本身的操作才能

2014-08-15 16:53:16 2443

转载 string、 CString、 char* 比较总结

char* string CString比较总结 [已删除]分类: C++2014-07-10 16:14 1人阅读 评论(0) 收藏 编辑 删除c++stringcstringcharchar* string CString比较总结 (一) 概述string和CString均是字符串模板类,string为标准模板类(ST

2014-07-10 16:21:48 768

转载 Sample of STL(STL范例-容器部分)

Sample of STLSTL范例(一)容器部分      Vector-------------------------------------------1 Deque--------------------------------------------------20 List------------------------------

2014-07-07 10:08:59 1130 1

转载 【C++ STL】算法 <algorithm>中各种算法解析

一,巡防算法        for_each(容器起始地址,容器结束地址,要执行的方法)[html] view plaincopy#include iostream>  #include algorithm>  #include vector>    using namespace std;    

2014-07-07 09:48:52 1132

转载 DCM/PLL/DLL的区别

问:DCM/PLL/DLL有什么区别?答:DCM is a digital clock manager that provides multiple functions. It can implement a clock delay locked loop, a digital frequency synthesizer, digital phase shifter, and a

2014-04-29 14:36:02 2711

转载 Lex和Yacc.之Lex

Lex和Yacc应用方法(一).初识Lex分类: C++/C/C#2007-03-15 17:23 25533人阅读 评论(22) 收藏 举报yacc正则表达式floatflexreferencecompiler Lex和Yacc应用方法(一).初识Lex草木瓜  20070301Lex(Lexical Analyzar 词法分析生成器),Yacc(Yet

2014-04-16 15:50:45 1334

转载 阻塞(=)赋值和非阻塞(<=)赋值

在写组合逻辑电路的代码时,我发现书上例子大都用的"=";而在写时序逻辑电路代码时,我发现书上例子大都用的""。之前就知道在Verilog HDL中阻塞赋值"="和非阻塞赋值"有着很大的不同,但一直没有搞清楚究竟有什么不同,现在来慢慢的琢磨它。  对于我这样的初学者而言,首先要掌握可综合风格的Verilog模块编程的8个原则,并且牢记,才能在综合布局布线的仿真中避免出现竞争冒险现象。

2014-04-14 13:19:16 2136

转载 使用ifstream和getline读取文件内容

以下函数实现的功能是从指定路径中读取double类型的数据:int ReadDataFromFiles( string strPath, vector &vData ){     ifstream sourceFiles( strPath );     if ( !sourceFiles.is_open() )     {          cout         

2014-04-09 16:17:19 3234

转载 代码规范

· 背景Google的开源项目大多使用C++开发。每一个C++程序员也都知道,C++具有很多强大的语言特性,但这种强大不可避免的导致它的复杂,这种复杂会使得代码更易于出现bug、难于阅读和维护。本指南的目的是通过详细阐述在C++编码时要怎样写、不要怎样写来规避其复杂性。这些规则可在允许代码有效使用C++语言特性的同时使其易于管理。风格,也被视为可读性,主要指称管理C++

2014-04-03 16:31:13 921

转载 verilog打印 数据类型的语法

下面是verilog打印的语法转义符     意义说明%d 以及 %D 以十进制格式输出%b 以及 %B 以二进制格式输出%o 以及 %O 以八进制格式输出%h 以及 %H 以十六进制格式输出%s 以及 %S 以字符串格式输出%c 以及 %C 以ASCII码格式输出%v 以及 %V 输出线网类型变量的强度%m 以及 %M 输出层次名%t 以及 %T 以当

2014-03-27 10:59:54 6522

转载 Linux下文本文件中^M 符号问题的解决

相关问答:问:我在Windows中通过FTP传一个文本文件到Linux中,但是打开文本文件后每行最后都有^M的标志。由于很长,用编辑器去除太麻烦,有什么解决办法呢?答:为了解决这个问题,Linux下专门有两个工具可以互换Windows格式和Linux格式,它们分别是dos2unix和unix2dos。比如用下面的命令就可以将文件名为“filename”文件从Windows格式转换为

2014-03-27 10:32:01 689

转载 C/C++ 中fopen_s与fopen

fopen函数 fopen("文件名","打开方式")、FILE *fopen( const char *filename, const char *mode );       r 打开只读文件,该文件必须存在。r+ 打开可读写的文件,该文件必须存在。rb+ 读写打开一个二进制文件,只允许读写数据。rt+ 读写打开一个文本文件,允许读和写。      w 打开只写文件,若文

2014-03-24 14:40:55 6007

转载 时钟类型

ic设计过程中,以及模块的划分之中,甚至版图的时候,我们都要确定系统有多少时钟,以及这些时钟的类型,和他们的source。 下面介绍下astro做cts的时候 ,要明确的一些时钟的类型:1.overlapping clockastro会自动优化clka,clkb。最小化clka和clkb的skew。但是不需要在选择器上设置set_case_analysis2

2014-03-19 10:08:55 3951

转载 SVN 查看历史信息

SVN 查看历史信息 通过svn命令可以根据时间或修订号去除过去的版本,或者某一版本所做的具体的修改。以下四个命令可以用来查看svn 的历史: svn log 用来展示svn 的版本作者、日期、路径等等 svn diff 用来显示特定修改的行级详细信息 svn cat 取得在特定版本的某文件显示在当前屏幕 svn list 显示一个目录或某一版本存在  SVN 查看

2014-03-14 16:59:51 1131

转载 printf、sprintf与fprintf 的用法区分

1: fprintf()#include  int fprintf( FILE *stream, const char *format, ... );fprintf()函数根据指定的format(格式)发送信息(参数)到由stream(流)指定的文件.因此fprintf()可以使得信息输出到指定的文件.比如    char name[20] = "Mary";    FILE

2014-03-10 10:25:09 503

翻译 c_str

string.c_str是Borland封装的String类中的一个函数,它返回当前字符串的首字符地址。c_str函数的返回值是const char*的,不能直接赋值给char*,所以就需要我们进行相应的操作转化,下面就是这一转化过程。c++语言提供了两种字符串实现,其中较原始的一种只是字符串的c语言实现。与C语言的其他部分一样,它在c++的所有实现中可用,我们将这种实现提供的字符

2014-03-10 10:03:39 656

转载 基于std::string的字符串处理

C++标准模板库std使用广泛。该库中处理字符串的对象为std::string,该对象常用来对字符串分割、替换、提取子字符串等操作。但是由于该库全部使用模板编程,而且函数形式也比较复杂,在使用时经常出现问题。为了便于重用,根据在实际使用时常用到的功能,这里将相应的代码集成到了一个文件中,代码如下: /*****************************************

2014-03-07 09:41:21 3045

翻译 getcwd

函数简介UNIX C函数▪ TC2.0的范例▪ VC++6.0的范例▪ VS2008的范例1函数简介编辑函数名称:_getcwd(在TC2.0下为getcwd)getcwd函数原型:char *_getcwd( char *buffer, int maxlen );功 能:获取当前工作目录

2014-03-07 09:34:24 1592

转载 VHDL的数据结构

6.2  VHDL的数据结构VHDL定义了常量、变量和信号三种数据对象,并规定每个对象都要有唯一确定的数据类型。下面从标识符、数据对象、数据类型和表达式几个方面介绍一下VHDL的数据结构。6.2.1  标识符标识符是书写程序时允许使用的一些符号(字符串),主要由26个英文字母、数字0~9及下划线“_”的组合构成,允许包含图形符号(如回车符、换行符等)。可以用来定义常量、变量、信号、端口

2014-03-04 15:15:39 2659

转载 VHDL数据类型

VHDL数据类型      VHDL是一种强数据类型语言。     要求设计实体中的每一个常数、信号、变量、函数以及设定的各种参量都必须具有确定的数据类型,并且相同数据类型的量才能互相传递和作用。     VHDL数据类型分为四大类:        1标量类型(SCALAR TYPE);        2复合类型(COMPOSITE TYPE

2014-03-03 13:35:59 2258

转载 FPGA之ODDR

通过oddr把两路单端的数据合并到一路上输出 上下沿同时输出数据 上沿输出a路下沿输出b路  如果两路输入信号一路恒定为1,一路恒定为0,那么输出的信号实际上就是输入的时钟信号ODDRPrimitive: A dedicated output register to transmit dual data rate (DDR) signals from V

2014-02-26 14:17:23 11788

转载 关于C++中的友元函数的总结

1.友元函数的简单介绍1.1为什么要使用友元函数在实现类之间数据共享时,减少系统开销,提高效率。如果类A中的函数要访问类B中的成员(例如:智能指针类的实现),那么类A中该函数要是类B的友元函数。具体来说:为了使其他类的成员函数直接访问该类的私有变量。即:允许外面的类或函数去访问类的私有变量和保护变量,从而使两个类共享同一函数。实际上具体大概有下面两种情况需要使用友元函数:(1)运算

2014-02-26 10:59:06 558

转载 std::list

使用标准的std::list进行容器数据处理时,操作比较底层。我们可以,减少引用标准MFC标准库,减少系统的大小,但同时也存在有不方便的操作之处,这里同大家分享一些使用心得......      在使用std::list链表时,难免会对数据进行添加删除操作。而遍历链表则有两种方式:通过索引访问,象数组一样处理;通过std::list链表遍历器进行访问list  STL 中的li

2014-02-25 10:54:57 724

转载 std::set

std::set作为标准库的一个关联容器,实现内部元素进行了排序,使用这特性可以对一组元素进行插入排序。std::set最初的设计是完成数学中“集合”的概念,它提供的接口也是如此。本文简单地介绍一下这一个标准库容器。为了使用std::set,我只需要如下:http://blog.csdn.net/public/article/details/6633274 #include//定义

2014-02-25 10:53:37 648

转载 std::map

std::map 再学习1.         map中的元素其实就是一个pair。2.         map的键一般不能是指针,比如int*,char*之类的,会出错。常用的就用string了,int也行。3.         map是个无序的容器,而vector之类是有序的。所谓有序无序是指放入的元素并不是按一定顺序放进去的,而是乱序,随机存放的(被映射后近似随机存放)。所以遍历的

2014-02-25 10:49:47 2193 1

转载 std::string

在平常工作中经常用到了string类,本人记忆了不好用到了的时候经常要去查询。在网上摘抄一下总结一下,为以后的查询方便:string类的构造函数:string(const char*s);    //用c字符串s初始化string(intn,char c);     //用n个字符c初始化string类的字符操作:const char&operator[](int n)cons

2014-02-25 10:48:40 1023

arm编译器iar的使用教程~

介绍了iar的使用教程~1. 创建工程 1.1创建新工程 1.2选择工具链和工程模版 1.3保存工程文件 2. 添加代码 2.1准备所需文件 2.2添加源代码 3. 配置工程选项 3.1配置工程选项 3.2配置通用选项 3.3选择编译器优化级别 3.4设定头文件包含路径 3.5选择输出文件参数 3.6选择烧写Flash的文件格式 3.7设定Linker Command File 3.8选择调试器驱动程序 3.9选择程序下载模式 3.10设定RDI调试代理软件 3.11保存Workspace文件 4. 代码编译和链接 4.1编译和链接 5. 代码下载和调试 5.1准备调试环境 5.2下载程序到目标板 5.3代码调试 5.4退出调试环境

2011-07-21

PCB设计的技巧百问(需要注意的问题)

PCB设计中的技巧和需要注意的问题~PCB设计中的技巧和需要注意的问题~

2011-05-04

cadence仿真教程ADE_5_0.trans.pdf

cadence仿真教程ADE_5_0.trans.pdf

2010-11-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除