自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 资源 (2)
  • 收藏
  • 关注

原创 SD卡学习笔记(6)

分享一些SD卡传输的时序图:1.2. SD模式里面数据的传输不需要令牌,,SPI模式的数据传输需要延时,Data response没找到SD总线的该响应的描述,找到了SPI模式里面的叙述,叙述如下:

2021-06-01 12:10:19 248 1

原创 SD卡学习笔记(5)

数据读,没有数据传输,数据总线是高电平,一个传输块包括四个低电平的bit,然后是持续的数据流,数据流结束位是四位高电平,数据传输和时钟是同步的,面向块的数据传输由1位或4位的CRC校验和保护,CRC被附加在块的末尾,单块传输不需要停止命令,多块CMD18需要CMD12停止,停止有延迟,在停止命令的结束位结束,多块写命令应使用,而不是连续单写命令,以提高写操作的速度。如果主机使用的部分块的累计长度没有块对齐且不允许块对齐(CSD参数WRITE_ blk_不对齐),则在第一个不对齐的块开始之前,卡应..

2021-06-01 12:06:28 437

原创 SD卡学习笔记(4)

分析一下目前的结果和问题结果:目前能够完整的跑完整个程序,初始化、读和写的状态机都能运行到最后,代码的整体逻辑理论上没有问题,完整运行的示意图如下:目前的结果中,能够看到写入数据的过程,但是目前没有读数据的过程,读程序的状态能够顺利运行,但是运行的时候读数据的四根总线一直处于高电平状态,与写数据的过程不一致。所以目前的结果就是程序能够顺利跑通,但是没有实现读的功能。写入数据的过程具体标识如下:在一个时钟上升沿有4bit的数据写入,时钟频率是25Mhz,所以写入速率是12.5MB/s,我使用一个信

2021-06-01 12:03:02 262

原创 SD卡学习笔记(3)

1.SD模块功能模块划分:命令收发模块,数据收发模块,状态控制模块和校验模块2.命令收发模块:命令接收和响应都在命令线CMD上传输,该端口是一个三态门,由输出使能信号En控制是否输出,命令接收时,首先检测命令起始位,检测到起始位后开始工作 接受完一个完整命令后 发出接收完成信号end,分别得到移位寄存器中对应的命令索引,命令参数,命令校验.命令接收过程中,同时将数据传给CRC7校验模块,用于生成校验数据.响应发送时,模块根据状态控制模块给出的响应类型产生对应的响应内容,当接收到发送使能信号start后,给

2021-06-01 11:55:29 255

原创 SD卡学习笔记(2)

1.总线速度模式如上:UHS-1表示支持UHS(Ultra High Speed,超高速)接口,其带宽达到104Mb/s。2. SD卡定义了三种通信协议,SD,SPI,UHS-II。收到reset命令后,SD卡通过主机的信息来决定使用何种模式。SD总线,上电后默认使用DATA0来传输数据,初始化之后可以使用主机来改变总线长度,即数据线数目。当data1-3没有使用的时候,相应的主机DAT应该被设置为输入模式3. SPI的通用特点是字节传输,所有的数据都是字节(8bit)的整数倍,并且直接总是对齐CS

2021-04-26 18:33:03 801

原创 SD卡学习笔记(1)

最近在做SD卡的相关学习和使用,在此整理一下学习过程中的收获和进展。1.SD卡选择:2.0标准的SD卡,常见的HC卡2.与FPGA连接有SD模式和SPI模式,前者需要6条线,后者需要四条线,上电之后默认是SD模式,需要发送命令进入SPI模式3.SD卡的协议是简单的命令响应协议,主机发送命令,是一个6字节的命令包,第一个字节的高位7bit和6bit是01,其余六个是命令号,2到5字节是命令参数,6字节是7bitCRC校验和1bit的结束位,最低位是1。4.有三种响应格式,R1,R2,R3,响应格式与命

2021-04-07 11:40:00 621 2

原创 关于Xilinx SDK工具的使用问题求解

在使用Xilinx的SDK开发工具时遇到以下几个问题:1.目前我使用的过程没有连接开发板,仅仅是在电脑上面进行运行,目前写了一个例程代码,经过修改和build没有报错,但是run的时候不能编译成功,目前遇到的情况如下:想求助一下这个问题要如何解决2.想求教不使用开发板的时候如何运行和debug程序,目前的debug过程也无法实现,debug时会显示这样的问题,导入正确的gdb版本后也没有解决该问题。在debug configurations里面选的是如下的设置请问这样使用正确吗?最近刚刚

2020-11-22 12:33:24 2185

原创 System generator与FPGA学习笔记(1)

System generator与FPGA学习笔记(1)1.system generator与matlab版本兼容问题的解决2.使用sysgen时出现的ddl库文件丢失问题的解决3.system generator的一些使用要点1.system generator与matlab版本兼容问题的解决这个问题在之前的博文中已经详细的解释过,并且搜索的话能够得到更加详实的结果,在此不多加赘述,仅把之前解释的方法放到此处。题主安装的是2017版本的vivado和2019版本的matlab,如何能让sysgen找

2020-08-07 22:31:37 1158 2

原创 关于vivado与matlab联合使用当中遇到的问题求解答

关于vivado与matlab联合使用当中遇到的问题求解答首先是system generator的使用题主安装的是2017版本的vivado和2019版本的matlab,如何能让sysgen找到2019版本的matlab是我第一个问题解决方案在其他答主那里得到了答案,修改如下路径所示的文件双击文件ml_supported,用记事本或者notepad打开,修改第二个问题:打开matlab的simulink后新建一个工程,想要调用system generator,选中拖进来,双击后出现了如图所

2020-07-22 22:28:33 2353 7

SD2.0协议标准完整版[1-6章].zip

SD卡部分协议的中文翻译版本

2021-04-07

SD_Simplified_Specification.zip

适合对SD卡的读写擦除等操作进行开发的人

2021-04-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除