自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(46)
  • 资源 (3)
  • 收藏
  • 关注

原创 Verilog的$random函数

最近在verilog做功能仿真时遇到了一个小细节,$random的使用方法。码一下以备学习。原文链接:Verilog$random用法随机数生成_Embedded_kai_新浪博客$random函数,调用时返回一个32位的随机数,它是一个带符号的整形数。在使用时根据具体场景对符号以及位宽进行调整。比如:reg[23:0] rand;rand=$random % 60; //产生一个在 -59—59范围的随机数reg[23:0] rand;rand={$random...

2021-12-31 14:44:21 2645

原创 数字芯片后端 LVT, RVT, HVT 的区别

最近接触到了不同DC库,码一下区别。这几个都是CMOS集成电路的Vth阈值电压相关的基本概念。通常将传输特性曲线中输出电压随输入电压改变而急剧变化转折区的中点对应的输入电压称为阈值电压。HVT = High V threshold. Can be used in the path where timing is not critical. So by using HVT cells we can save power.LVT =L...

2021-09-17 15:37:23 5995

转载 芯片工艺SSG/FFG/TT的区别与联系

最近在调试foundry的IP库,发现所有的库文件都已标注了各种适用信息,其命名规则很明确。其中涉及到芯片的制作工艺,包括ffg、ssg、tt。查到一篇博客讲的很好,总结转载一下。涉及到的知识:TT: Typical TypicalFF:Fast nmos Fast pmosSS:Slow nmos Slow pmosFS:Fast nmos Slow pmosSF:Slow nmo...

2021-08-25 10:39:06 10337

原创 在cmd中设置网络代理并安装numpy、matplotlib

最近由于工作需要,需要在windows中安装python和相关库文件,但是电脑接入了区域内网,使用了统一网络代理。在cmd中安装python3.6较为顺利,但安装numpy和matplotlib时一直报错:ERROR: Could not find a version that satisfied the requirements numpyERROR: No matching distribution found for numpy.解决办法如下:1、转接proxy权限 ...

2021-08-24 09:41:44 585

转载 Design Compiler知识点汇总

DC是Synopsys的的逻辑综合工具,在实际使用时有很多需要注意的小点。转一下这边整理的不错的博客,不定期增添部分细节。1.1 什么是DC?DC(Design Compiler)是Synopsys公司的logical synthesis工具,它根据design description和design constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如HDL、Schematics、Netlist等,并能生成多种性能 report,在reducing design...

2021-06-28 15:00:02 2230

转载 CNN(卷积神经网络)的参数量计算和浮点计算量分析

1. CNN参数params(w) = co*(ci* kw* kh)params(b) = co所以总的参数量为params = co*(ci* kw* kh+ 1)当使用了BatchNormalization时,不需要bias2. CNN计算量FLOPs (乘法) =co*H * W * (ci* kw* kh) 其中H, W代表输出特征的宽和高FLOPs (加法(w)) =co*H * W * (ci* kw* kh- 1) ...

2021-06-21 15:21:16 1981 1

原创 chisel中=和:=的区别

学了chisel有一段时间了,最近发现一些基础的概念还是不行不清楚,绝了。。。。码一下供参考 在Chisel里,所有对象都应该由val类型的变量来引用,因为硬件电路的不可变性。因此,一个变量一旦初始化时绑定了一个对象,就不能再发生更改。但是,引用的对象很可能需要被重新赋值。例如,输出端口在定义时使用了“=”与端口变量名进行了绑定,那等到驱动该端口时,就需要通过变量名来进行赋值操作,更新数据。很显然,此时“=”已经不可用了,因为变量在声明的时候不是var类型。即使是var类型,这也只是让变量引用新的对象,

2020-09-03 09:38:52 1310

转载 linux下查看二进制文件的命令

原文地址:https://www.cnblogs.com/johnchain/p/3860905.html方法一:hexdumpapt-get install libdata-hexdumper-perl安装好之后就可以直接hexdump your_binary_file也可以直接使用hd命令来代替hexdump如果想要慢慢看 : hd your_binary_file | more方法二:Vim 可以用来查看和编辑二进制文件vim -b filename 加上-b参数...

2020-08-18 11:15:53 1823

原创 解决:使用idea编写chisel代码时import导入变红

2020-08-13 17:18:47 478

原创 chipyard仿真出错1

使用chipyard对gemmini的官方实例进行仿真,使用仿真器,出错如下:riscv64-unknown-elf-gcc: Command not found经过多方查询,锁定问题是出在工具链的路径上,网上有种解决方法是将riscv64-unknown-elf-gcc文件拷贝到根目录的/bin目录下。这样虽然可以解决命令找不到的问题,但后边会出其他问题。所以最好的办法就是修改环境变量,指定路径。export PATH=$RISCV/bin:$PATH在.bashrc文件里找到这句话

2020-08-08 11:06:49 722

原创 chipyard的toolchain安装出错2

接上步,又出错了。。。。RPC failed;curl 56 GnuTLS recv eroor (-54) : Error in the pull function解决方法如下:就是扩大一下缓存了。运行一遍,好起来了!

2020-08-07 16:54:53 357

原创 chipyard的toolchain安装出错1

chipyard安装步骤见官网https://chipyard.readthedocs.io/en/latest/Simulation/Software-RTL-Simulation.html#synopsys-vcs-license-required我是在执行这条命令时出了错:./scripts/build-toolchains.sh riscv-tools # for a normal risc-v toolchain错误见图解决方法如下:在qemu/roms/edk2/Cr

2020-08-07 15:32:54 990 1

原创 /bin/bash^M : bad interpreter : No such file or Directory的解决办法

把一段脚本代码拷贝到ubuntu后,运行出现了/bin/bash^M : bad interpreter : No such file or Directory,解决方法如下:将脚本通过vi或vim打开,按ESC进入命令模式。输入:set fileformat=unix。(注意要带冒号,是在编辑器最底部输入才对)。之后输入:wq保存即可。重新运行,正常。...

2020-08-07 10:17:08 5007

原创 idea导入chisel文件后点击变量无法跳转

最近用idea学习chisel文件,按住ctrl后单击就是无法跳转。网上查到好多方法,不是很好使,可能大家的原因不太一样,我的解决方法如下,供参考:点击工具栏右侧,add configuration。然后点击templates。然后点击Gradle,在右侧的gradle project处添加自己的工作路径。点apply,就搞定了。注意,我已经安装了scala和sbt,检查一下自己的sbt装好没。装好了的情况如上图。...

2020-08-05 17:54:45 412

原创 chisel学习笔记2

第一个Chisel模块1、直接上代码// Chisel代码:定义一个模块class Passthrough extends Module { val io = IO(new Bundle { val in = Input(UInt(4.W)) val out = Output(UInt(4.W)) }) io.out := io.in}以上代码定义了一个名字叫做Passthrough的ChiselModule,它有一个4比特的输入,名字叫做in,还有一个4比特.

2020-07-29 09:52:21 264

原创 chisel学习笔记1

chisel是在scala的基础上建立起来的,初学chisel可能有点摸不着头脑,建议去菜鸟学学scala先。码一下scala的笔记,贼适合入门。Scala是一个面向对象的编程语言,了解这一点很重要,这也是Scala和Chisel的一个很大的优势。变量是对象。 运用val声明的常量也是对象。 甚至literal也是对象(例如,1,2,3,字符串等)。 函数本身也是对象。这之后会详细说明。 对象(Object)是类(class)的实例。 事实上,面向对象中的对象(object)在Scala.

2020-07-28 16:23:43 305

原创 RISCV-RoCC简介

RiscV作为一个新的开源指令集架构,由Aspire Lab开放,具有简单开放的特点,主要用于教育研究.一个最主要的特点是其ISA通过支持自定义指令的方式,支持自定义硬件加速器,用于专用领域的计算加速设计。本文简单介绍RiscV的RoCC,以及其与RiscV处理器核通信的接口RoCC Interface。RiscV自定义指令RiscV ISA定义了四种自定义指令用于与协处理器进行交互。customX rd, rs1, rs2, funct标准自定义指令格式如下:其中rs1

2020-07-24 16:08:45 5024

原创 chisel全套学习

win10环境1、安装conda,参照:https://www.jianshu.com/p/920a6e18cfd6注意,清华源已经停止了服务,使用conda config --remove-key channels换回默认源命令窗口显示“done”表示完成。2、使用anaconda安装Jupyter Notebook,参照:https://www.jianshu.com/p/91365f343585/命令窗口显示“done”表示完成。3、找到chisel教程开始搬砖,参照:htt.

2020-07-22 10:44:29 571

转载 定点数和浮点数的区别到底在哪里

讲的很透彻的一篇文章:https://blog.csdn.net/k331922164/article/details/75579230

2020-07-22 09:55:32 4591

原创 Linux环境下Tab键无法自动补全终极解决方案

今天突然意识到tab键无法补全是个大问题,于是乎动手解决了一下,发现原因很多,稍作整理:1、Tab无法自动补全且没有其他功能(1)查看使用的shell:echo $SHELL 如果不是/bin/shell,查看ls -l /bin/sh,如果该软连接的不是/bin/shell,执行 ln -sf/bin/bash /bin/sh(2)查看当前用户主目录下.bashrc 比如: vim /root/.bashrc,按shift+g跳到最后一行...

2020-07-17 16:17:36 19582

原创 .bashrc 文件作用

.bashrc 文件作用 根目录下输入ls -a可查看Linux 系统中很多 shell,包括bash,sh,zsh,dash 和 korn 等,不管哪种 shell 都会有一个 .bashrc 的隐藏文件,它就相当于 shell 的配置文件。一般会有多个 .bashrc 文件,使用 find 命令可以查看:$ sudo find / -name .bashrc/home/hj/.bashrc # hj 为用户名/root/.bashrc/snap/core18/941/et

2020-07-01 10:54:56 783

原创 MobaXterm实用教程

最近有远程登录服务器需求,比较了一下决定选用MobaXterm,码一下使用教程MobaXterm 又名 MobaXVT,是一款增强型终端、X 服务器和 Unix 命令集(GNU/ Cygwin)工具箱。它具有强大且丰富的功能,并且还都是免费的,不得不说是个人使用的首选SSH客户端。MobaXterm 可以开启多个终端视窗,以最新的 X 服务器为基础的 X.Org,可以轻松地来试用 Unix/Linux 上的 GNU Unix 命令。这样一来,我们可以不用安装虚拟机来试用虚拟环境,然后只要通过 Mob

2020-06-27 11:34:38 1449

转载 OFDM的基本原理

讲的很好的OFDM。博客链接:https://blog.csdn.net/a493823882/article/details/80058002

2020-06-02 17:11:09 533

原创 linux下巨有用的vi命令

整理一下巨有用的vi命令,抛弃鼠标效率快的飞起啊~仅供自己整理使用,参考博客:https://blog.csdn.net/chenzheng_blog/article/details/80463975 1、vi + 文件名,新建文件或打开现有文件。2、命令模式+:进入末行模式,末行模式+ESC进入命令模式;命令模式+i进入编辑模式,编辑模式+ESC进入命令模式;3、命令模式下:...

2020-04-19 15:37:27 158

转载 一文看懂Bluetooth 5.1 AoA到达角度位置服务实现原理

转载地址:https://blog.csdn.net/weixin_42583147/article/details/100574253?depth_1-utm_source=distribute.pc_relevant_right.none-task&utm_source=distribute.pc_relevant_right.none-task

2020-03-31 09:45:44 906

转载 室内AOA定位技术

转载地址:https://mp.weixin.qq.com/s?__biz=MzUzMDM0NjIzMg==&mid=2247483995&idx=1&sn=c3371321735377914fb369194e4fc20a&chksm=fa527bcccd25f2daf258964f8b0acdb32fd8f31f1213e4d9dca4fbe42f1acf5fbc...

2020-03-31 09:43:36 4981

转载 蓝牙5.1协议详解

查阅资料时发现这一篇写的很好,解释很清楚,分享给大家。原文地址:https://blog.csdn.net/zw515370851/article/details/90531051

2020-03-30 16:44:16 5451

转载 6-PWM技术简介

参考博客:http://www.eepw.com.cn/article/275890.htm

2020-03-12 17:17:57 192

原创 4-DMX协议

一、简介 DMX(digital multiplex),协议是由美国舞台灯光协会(USITT)提出了一种数据调光协议,它给出了一种灯光控制器与灯具设备之间通信的协议标准,因其在1990年提出,所以协议的全称是USITTDMX512(1990)。该协议的提出为使用数字信号控制灯光设备提供了一个良好的标准。...

2020-03-01 09:16:01 2690

原创 3-DALI协议

一、简述 DALI (Digital Addressable Lighting Interface),中文译名数字可寻址照明接口,是一种数据传输的协议,它定义了电子镇流器与设备控制器之间的通信方式。DALI协议不是具有各种复杂控制功能的系统,而仅仅是作为一个灯光控制子系统的数据传输协议。 所谓电子镇流器,是镇流器的一种,是指采用电子技术驱动电光源,使之产生所需照明的...

2020-02-28 15:51:10 2631

原创 阻塞赋值与非阻塞赋值选择

1.阻塞赋值●如果多个阻塞赋值语句顺序出现在begin-end语句中,则前面的语句在执行时将完全阻塞后面的语句,直到前面语句的赋值完成以后,才会执行下一表达式。●赋值操作符是“=”的过程赋值是阻塞性过程赋值。2.非阻塞性过程赋值●如果有多个非阻塞赋值语句顺序出现在begin....end语句中,那么前面语句的执行不会阻塞后面语句的执行。●寄存器变量<=表达式;在编写...

2020-01-04 15:45:24 1003

原创 集成电路工艺专题复习

期末码一下集成电路工艺知识点,课本是施敏的《半导体器件与物理工艺》,课上只选讲了第三部分工艺。填空题在单晶衬底上生长另一单晶半导体层的生长技术叫做外延。 用于生长单晶砷化镓的双温区炉管叫做布里吉曼系统。 有多少分子在单位时间里撞击在单位面积的衬底上的参数叫做分子撞击率。 分子在连续两次碰撞期间,平均经过的距离叫做平均自由程。 合金的熔点比任何一种组成材料的熔点都低,最低熔点...

2019-12-31 16:09:55 3830 6

原创 解决:浏览器下载的Excel文件显示“文件已损坏,无法打开”

我的电脑装的是正版office2016,最近在从网上下载excel文件时总是报错:文件已损坏。 刚开始以为是网站上传的文件有问题,但是手机上却可以打开,拿那这显然就是电脑的问题了。经过一番摸索,发现这个问题也好理解,是office认为这个文件有问题,不予加载,解决办法有二:1、右键单击属性,在下方解除锁定前的框里打勾即可。2、上边这个方法只对一个文件有...

2019-06-24 14:51:33 34983 5

转载 图像中常见的几种噪声及产生原因

转载地址:https://blog.csdn.net/weixin_40446557/article/details/81451651一、什么是图像噪声?噪声在图像上常表现为一引起较强视觉效果的孤立像素点或像素块。一般,噪声信号与要研究的对象不相关,它以无用的信息形式出现,扰乱图像的可观测信息。通俗的说就是噪声让图像不清楚。二、噪声来源—两个方面(1)图像获取过程中两种常用类型...

2019-04-04 19:36:05 31172 3

原创 对PLL锁相环的一些理解

最近在看时钟电路,看到一篇讲得很好的,原文链接:https://blog.csdn.net/leoufung/article/details/50268031 PLL(锁相环)电路的基本构成 在通信机等所使用的振荡电路,...

2019-03-12 19:52:03 6586

转载 Inception家族发展史概述

原文链接:http://baijiahao.baidu.com/s?id=1601882944953788623&amp;amp;wfr=spider&amp;amp;for=pc&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;本文简要介绍了 Inception 家族的主要成员,包括 Inception v1、Inception v2 和 Inception v3、Inception v4 和 Inceptio...

2019-03-02 09:38:18 1678

原创 终于明白了batch_size,iteration,epoch之间的关系

参考链接:https://blog.csdn.net/program_developer/article/details/78597738(1)iteration:表示1次迭代,每次迭代更新1次网络结构的参数,1个iteration等于使用batchsize个样本训练一次;(2)batch_size:批大小,即1次迭代所使用的样本量。在深度学习中,一般采用SGD训练,即每次训练在训练集中取ba...

2019-01-24 10:14:02 15725 2

原创 python学习笔记:20190123 pass语句

看教程戳这里python中的pass:Python pass 语句Python pass是空语句,是为了保持程序结构的完整性。pass 不做任何事情,一般用做占位语句。Python 语言 pass 语句语法格式如下:pass实例:#!/usr/bin/python#-*- coding: UTF-8 -*- #输出 Python 的每个字母for letter in 'Pyth...

2019-01-23 15:13:07 153

原创 Pycharm报错:AttributeError: 'NoneType' object has no attribute 'get'的解决办法

最近在使用pycharm调试python的model时发现报错AttributeError: ‘NoneType’ object has no attribute ‘get’,如下图:百度和谷歌了好久,发现有此错误的大有人在,原因也各不相同。错误直译是属性错误,网上有的人是单词拼写错误,有的是数组类型错误,有的是因为模块没有导入,还有的是因为没有设置返回路径,所以返回值为“None”…总之大家的...

2019-01-23 10:13:14 82437 26

原创 ubuntu16.04下pycharm的安装及稳定破解

pycharm在ubuntu16.04下的安装最近由于工作需要安装pycharm,网上教程很多但不连贯,下边略作整理:下载安装包先在PyCharm官网下载安装包链接:https://www.jetbrains.com/pycharm/download/#section=linux&amp;amp;amp;nbsp;&amp;amp;amp;nbsp;&amp;amp;amp;nbsp;&amp;amp;amp;nbsp;&amp;amp;amp

2019-01-16 11:22:25 9329 3

RoCC接口信号详解.rar

压缩包内含接口信号详解文档,从Verilog角度对相关信号进行解释,以及相关参考文献,对于设计与RoCC接口兼容的加速器有极大帮助。

2020-07-23

运动目标检测与跟踪算法的研究及FPGA实现.pdf

运动目标检测与跟踪算法的研究及FPGA实现,非常详细但无代码

2019-09-08

模拟CMOS集成电路设计 拉扎维 王志华注释 课后习题答案

模拟CMOS集成电路设计 拉扎维 王志华注释 课后习题答案,手写版,章节题目都是对应的,绝对的宝典,高度推荐

2019-03-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除