自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(25)
  • 收藏
  • 关注

原创 matlab filter2 shape含义

full 是矩阵右下角对从图像左上角开始。valid是矩阵左上角对齐图像左上角。same是矩阵中心点对齐图像左上角。

2024-04-15 10:40:17 201

原创 vivado联合Modelsim仿真代码修改后重新快速仿真

在modelsim的libirary选项栏里有一个xil_defaultlib文件夹,里面有自己的仿真文件,修改了哪个就recompile再重新仿真就行,不需要关掉软件。

2024-04-10 10:10:28 118

原创 matlab生成灰阶pattern

matlab生成灰阶图片

2024-01-03 16:43:00 402

原创 【matlab发送十六进制串口数组】

可以看到接收的16进制数据和数组a里面的元素完全一致。

2023-12-25 14:54:17 194

原创 【FPGA开发,显示蓝屏】

是由于fifo没有加复位导致fifo挂死导致显示蓝屏。

2023-10-21 09:07:25 88

原创 【FPGA行为异常】

是因为FPGA内部例化了large ram导致综合的逻辑问题。

2023-10-08 10:20:18 65

原创 FPGA fifo开发注意点

fifo的复位是根据数据来的,每次数据断开都要进行复位为帮助您在CSDN创作的文章获得更多曝光和关注,我们为您提供了专属福利:已注册且未在CSDN平台发布过文章的用户,9月1日—9月30日期间发布首篇文章可享大额首篇流量券扶持,且发布首篇文章后30日内,享连续每日流量券扶持;已注册且未在CSDN平台发布过文章的用户,在8月1日—8月30日期间发布过首篇,可自9月1日起,享连续30天每日流量券扶持;更多福利介绍详见https://mp.csdn.net/mp_blog/manage/traffic如果你想

2023-09-12 09:40:29 72 1

原创 FPGA在线升级失败问题

更换flash后正常。

2023-08-02 08:56:36 89 1

原创 lattice下载程序报错解决办法

1、在连接jtag的情况下上电,进行在线烧录。2、重新给芯片上下电进行烧录。

2023-07-14 11:24:36 208 1

原创 chipscope抓到的数据不一定是正确的

chipscope抓到的数据不一定是正确的。

2023-07-06 08:58:27 83 1

原创 画面一直闪个不停

输入图像的行数和预想中的不一致。

2023-07-04 09:24:35 42

原创 屏幕经常出现黑屏的情况

1、电源没有加屏蔽罩导致DDR4受到了电磁干扰。

2023-06-25 11:02:17 115 1

原创 屏幕不亮解决办法

到底是软件bug还是硬件问题呢?最后找到的原因是线松了。

2023-06-21 10:10:36 88

原创 串口接收数据偶发性错误

串口接收数据偶发性错误是因为串口接口离电源太近,导致出现了电磁干扰,屏蔽电源后串口接收数据正常。

2023-06-15 08:54:05 558

原创 bad dll format

modelsim仿真报错,Bad DLL format

2023-05-31 16:40:19 133

原创 【芯片启动异常原因】

芯片启动异常

2023-03-09 19:52:54 255

原创 FPGA运行不稳定,一会儿正常,一会儿不正常

这种情况大概率是状态机使用了组合逻辑,导致状态机跳转异常

2022-07-12 14:56:50 1641

原创 FPGA读数据状态不稳定,时有时无

FPGA读数据不稳定

2022-06-30 08:38:28 1167

原创 【Ubuntu putty串口工具使用方法】通过Ubuntu自带的cp210x驱动实现串口转USB打印

Ubuntu实现串口打印

2022-04-17 19:58:59 855

原创 Ubuntu下安装vivado cable driver

ubuntu安装vivado cable驱动

2022-03-22 22:10:53 403

原创 verilog有符号加法

本模块默认以补码的形式输入有符号数tb:`timescale 1ns/1psmodule name ();reg clk;reg rst_n;reg [3:0] data_in;reg data_vld_in;wire [5:0] data_o;wire data_vld_o;initialbegin clk=0;rst_n=0;#200;rst_n=1;endalways #10 clk=~clk;initialbegin data_in=4'd0;

2021-03-31 13:54:00 1149

原创 artix 7 FPGA上电启动速度慢的解决办法

解决方法:上电启动速度慢是因为FPGA生成的bit文件采用1-wire形式读取FLAS固件。打开VIVADO,点击open synthesized Design:第二步,右击Generate bitstream,点击bitstream settings点击configure additional bitstream settings,在里面选择spi x 4...

2021-03-23 20:49:52 1311 1

原创 verilog奇数分频,三分频实例

verilog奇数分频,三分频实例目的:输入一个时钟信号,输出占空比为50%的三分频。首先得到一个占空比为1/3的分频时钟,然后将输入信号取反,得到一个移相180度的占空比为1/3的分频时钟,将两个时钟相或得到目的时钟。verilog代码module divider_3 ( input clk, // Clock input rst_n, // Asynchronous reset active low output wire clk_d3);reg[3:0] count,co

2021-03-09 17:09:14 955

原创 fsmc testbench

`timescale 1ns/1psmodule testbench ();reg clk;reg rst_n;reg SCLK;reg ready;wire mcu_flag;wire data0;reg [7:0] count;reg [7:0] ADC_dat_reg;reg [7:0] memory[0:77];reg [7:0] count_cnt;reg mcu_pul_r;integer i;reg

2020-12-03 15:31:48 131

原创 IC测试面试题1

问:输入一个100Mhz的时钟和一个200Mhz的时钟,这两个时钟的相位一致,开始时上升沿对齐,同时拉高。求获得100Mhz移相90°的时钟?答:下面是verilog代码module wave_90 ( input clk_100M, input clk_200M, input rst_n, output reg clk_100M_90);wire clk_200M_n;assign clk_200M_n=!clk_200M;always @(posedge clk_20

2020-06-02 13:35:16 1448

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除