自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(16)
  • 资源 (14)
  • 收藏
  • 关注

转载 很简单的例子,仅仅加时钟周期约束的条件下时序波形图分析

为什么建立时间的datarequiretime  会在lauch clock的后面 是因为launch clock到目的寄存器还有一段延迟简单的例子,仅仅加时钟周期约束的条件下, create_clock -period 10.000 -name clk_in [get_ports {clk_in}]  用TimeQues

2016-06-06 14:13:02 2514 1

转载 timequest静态时序分析学习笔记之基本概念

转载于http://www.cnblogs.com/Oursbuzouxunchanglu/p/3957473.html感谢博主分享的系列时序分析,第一章 基本概念1.1延迟因素  第一,FPGA芯片内部的一些固有延迟,包括建立时间Tsu、保持时间Th和数据存入寄存器到输出管脚时间Tco,这些时间是由FPGA芯片决定的,不同的FPGA芯片这些延迟时间不一样。(如图1

2016-06-06 11:52:23 2463

翻译 qsys初探————中断注册API

最近在摸索Qsys,quartus II和NIOS II也相应升级到了12.0,可是在NIOS中发现alt_irq_register无法使用了。即使包含了#include "sys/alt_legacy_irq.h"等库文件都不管用,于是仔细的阅读了中断函数的代码,发现并不是新版的软件阉割了老版的函数,而是放在了别的地方,在程序中引用#include "priv/alt_legacy_irq.

2016-05-17 20:58:39 569

转载 FPGA:跨时钟域数据交互

收藏大神们的牛贴。以便学习。为了实现OV7725视频采集,同时实时显示于VGA显示器,我们需要将捕获后的数据交给VGA进行实时显示,但我们却不能简单的实现这一功能~~~~(>_这并非OV7725输入的视频流数据量有多大,也不是因为输入视频数据不连续,而是因为时钟的不同步,造成我们不能直接进行数据的交互。因此我们直接解决了这一个问题,才能完成不同时钟域的数据交互,以保证数据流

2016-05-07 14:15:03 7238

原创 stm32 io模拟spi通信

首先借鉴他人的编写程序:#define MOSI_H GPIO_SetBits(GPIOB, GPIO_Pin_10) #define MOSI_L GPIO_ResetBits(GPIOB, GPIO_Pin_10) #define SCLK_H GPIO_SetBits(GPIOB, GPIO_Pin_13) #define SCLK_L GPIO_ResetBits(GPIO

2016-05-06 16:54:11 8834

转载 verilog 有符号数(2转)

在数字电路中,出于应用的需要,我们可以使用无符号数,即包括0及整数的集合;也可以使用有符号数,即包括0和正负数的集合。在更加复杂的系统中,也许这两种类型的数,我们都会用到。有符号数通常以2的补码形式来表示。图1列出了4位二进制表示法所对应正负数。进一步观察,我们发现两种类型数的加减法是一样的,做加法和减法就是 在数轮上按正时钟转转或按反时钟转。比方说,1001+0100,意味着从1001按照顺

2015-11-01 23:09:04 718

原创 fpga基础知识误点

-,verilog if条件中能用posedge作为判断条件吗?不可以,因为一般你的always块是上升沿触发的,在一个上升沿中捕捉另一个上升沿,是不太现实的。。你可以给他来个缓存赋值,通过判断此刻的值和上一个时钟时的值是否不同,来实现判定某个变量变化的目的。。可以做一个出来,a_last

2015-10-31 23:51:16 2350

转载 构建有符号加法器和有符号乘法器的经验

一、有符号加法器设计范例: ①代码: module ADD_func(CLK,nRST,IN1,IN2,OUT1);    input CLK,nRST;  input [7:0] IN1,IN2;  output [8:0] OUT1;    reg [8:0] rOUT;     always@(posedge CLK or negedge nRST)

2015-10-25 14:58:52 8657 2

转载 使用Simulation Data Inspector(信号查看器)进行信号数据调试

上一篇     下一篇共256篇  [仿真] 使用Simulation Data Inspector(信号查看器)进行信号数据调试2013年05月13日 11:17:25让我下决心翻译这篇文章和共享相关技术,主要是: (1)4月份MathWorks高级工程师吴菁在成都巡回演讲中讨论到这个工具,然而现场知道使用的很少 (2)在blog.mathworks.com中看到

2015-09-21 19:17:14 13607 2

转载 为什么在ARM板上qt字体会变小?

因为QT在ARM板上计算DPI值错误。解决的方法就是设置好qt的dpi。qt是根据显示器的物理长度或者宽度于分辨率的关系来计算dpi的。对于QT5以下的版本设置如下:    export QWS_DISPLAY="LinuxFB:mmWidth95:0"     export QWS_SIZE="480x272"对于QT5:    export QT_QPA

2015-08-29 16:55:58 702 1

转载 Qt Creator 窗体控件自适应窗口大小布局

常见的软件窗口大小改变(最大化、手动改变时)需要窗口的部件能够自适应布局,而在Qt的应用程序界面设计中,对于像我一样的初学者如何实现窗口自适应调整还是要绕点弯路的。网上百度了很多,多数说的很含糊,还有很多是用程序实现的,既然已经有Qt Creator那么高集成度的工具了,我还是倾向于直接在Qt Creator中通过可视化配置的方式完成,一是所见即所得,而是效率要高不少。Qt中如果想实现窗体内空

2015-08-29 11:37:34 3401

转载 Linux文件系统启动过程及login的实现 .

1. busybox简介busybox是一个集成了一百多个最常用linux命令和工具的软件,它将许多常用的LINUX命令和工具结合到了一个单独的可执行程序中。虽然与相应的GNU工具比较起来,busybox所提供的功能和参数略少,但在比较小的系统(例如启动盘)或者嵌入式系统中,已经足够了。    busybox在设计上就充分考虑了硬件资源受限的特殊工作环境。它采用一种很巧妙的办法减少

2015-08-29 11:35:29 1260

转载 移植qt-4.8.5至 mini2440建立交叉编译及qt运行环境

移植参考了网上流传的许多相关文档,感谢。软硬件环境: ubuntu10.04 32bit  arm-linux-gcc-4.4.3   mini24401  下载tslib-1.4.tar.gz 触摸屏库文件包     解压: tar -xvzf tslib-1.4.tar.gz      进入解压后得到的tslib文件夹: cd tsl

2015-08-28 16:05:38 2524

原创 jz2440裸板移植内核驱动,实现uvc摄像头驱动中经验总结

1 uboot的烧写注意事项:jlink 不能烧写nandflash,   pc机是ping不通uboot的,只能从uboot ping主机

2015-08-20 16:46:16 3222

原创 基于zynq的pwm ip核设计

一.用户自定义ip核1,环境使用的是vivado 2014.42,点击Tools—>create and package ip..打开用户创建ip向导,点击next3.在choose create peripheral or packege ip 对话框中选择 create new AXI 4peripheral 4.在peripheral details对话框填写自己ip信息,n

2015-08-18 11:43:35 4083

原创 第一篇,IT道路的开始

决定走it道路了,感觉到这条道路的艰难和迷茫,希望自己能坚持到最后。虽然自己基础不太好,而且选择了zynq这门技术,这个入门对我来说太陡了,包含了 arm,fpga,dsp等各种知识的学习。现在都不知道从什么地方下手,我还是从点滴开始,在这里记下自己成长的每一步,以备自己以后遗忘后的查看。

2015-08-18 11:33:05 357

MFC 编写的通信录程序

VC++ 6.0开发的通讯录管理软件

2023-08-08

STM32 PMSM FOC 4.3 - NOSENLESS.rar

FOC 无霍尔控制程序

2021-10-20

第1章 单片机概述.pptx

单片机讲解ppt,非常详细,适合教师上课,学生学习

2021-10-20

无线传感网络实验指导书

清华大学 zigbee实验指导书,详细介绍zstack架构,实验内容 详细

2018-07-20

fpga spi通信程序

spi通信 程序,包含通信测试程序

2016-04-30

基于qt的 uart通信界面设计

用qt编写的 uart通信界面

2016-04-30

spi 主机程序 简单的通信程序

spi 通信的主机程序,可以完成发送功能

2016-04-30

uart fifo的fpga程序

verilog编写的 uart通信程序,包括对fifo的控制,程序简单精炼,在开发板得到验证

2016-04-30

基于zynq芯片的 pwm ip核开发

基于zynq的pwm 自定义ip核设计,接口是基于axi总线通信协议

2015-08-18

DS18B20中文资料

18b20最全的 技术资料 全中文 适合参考

2015-01-25

51单片机的声音引导系统

声音引导系统的设计 基于51单片机的设计论文

2015-01-25

09电子设计大赛 提交论文

09电子设计大赛的论文 ,获奖论文 声音引导

2015-01-25

南航 msp430教材。非常详细编程教程

msp430单片机教材 南航电子版 内容很详细 适合学生学习

2015-01-25

数字视频监控系统设计教程

数字视频监控 系统 内容充实 适合 大家下载学习

2014-10-22

pid参数整定

此文档 详细介绍 pid模糊控制 比例积分 微分 参数的调整设定

2014-10-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除