自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (20)
  • 收藏
  • 关注

空空如也

传感器与检测技术陈杰课后答案

传感器与检测技术,第二版,陈杰 黄鸿编著

2017-04-20

Pattern Recognition and Machine Learning

机器学习经典之作,深度学习推荐书籍,从bayesian角度开讲机器学习

2015-08-06

MATLAB神经网络30个案例分析

《开发实例系列图书:MATLAB神经网络30个案例分析》是MATLAB中文论坛神经网络版块数千个帖子的总结,充分强调“案例实用性、程序可模仿性”。所有案例均来自于论坛会员的切身需求,保证每一个案例都与实际课题相结合。读者调用案例的时候,只要把案例中的数据换成自己需要处理的数据,即可实现自己想要的网络。

2015-05-13

斯坦福大学机器学习课件中文版

网易公开课,斯坦福大学Andrew Ng 大牛机器学习课件中文版,对于研究机器学习的同学有很大帮助

2015-05-12

小波十讲(中英文版)

关于小波的数学经典著作,该书受到小波分析理论主要创始人法国大数学家YMeyer的高度评价。本书共十章,第1章概括了小波变换,第2章介绍连续小波变换,第3章介绍离散小波变换及框架,第4章介绍时频密度和正交基,第5章介绍正交小波基和多分辨分析,第6章介绍紧支集正交小波及子带编码,第7章介绍紧支撑小波正则性,第8章介绍紧支撑小波的对称性,第9章介绍傅里叶变换不适用的泛函空间。第10章介绍正交小波基普遍性理论及技巧。

2015-01-06

c#NET实用教程

针对已有面向对象程序设计基础的学生,介绍了.NET基本知识及应用程序的开发技术。全书共12章,内容包括:C#编程概述、C#语言基础、Windows基本控件的使用、数据库系统设计基础、系统建模技术、使用C#和ADO.NET操作数据库、使用C#开发Windows数据库应用程序、Web应用技术、Web程序设计基础、网上书店系统的设计、Windows Mobile智能设备程序设计基础、移动Web程序开发。《C#.NET实用教程》循序渐进,内容与实例相结合,理论与实践同步,逐步引领读者掌握.NET应用程序开发技术。书中所附实验项目针对性强,紧密联系开发实际。以“C#语言基础+SQL Server 2005+目前流行的开发技术+实验指导+课程设计指导”,构成完整的C#语言实际开发能力的培养体系,用于培养学生C#语言实际开发能力,使学生初步具备.NET应用程序的独立开发能力,以便与实际工作岗位无缝接轨。《C#.NET实用教程》配有PPT、习题答案等教学资源。

2014-07-14

c#本质论.pdf

由浅人深地介绍了C#语言的各个方面.每章开头的“思维导图”指明了本章要讨论的主题,以及各个主题之间的层次关系。书中所包含的丰富的示例代码和精要的语言比较,都有助于读者理解C#语言.此文档只有前五章

2014-07-14

算法导论中文版

《算法导论》专门讨论了线性规划,介绍了动态规划的两个应用,随机化和线性规划技术的近似算法等,还有有关递归求解、快速排序中用到的划分方法与期望线性时间顺序统计算法,以及对贪心算法元素的讨论。

2014-05-25

细细品味c#(重构的艺术)

重构(Refactoring)就是在不改变软件现有功能的基础上,通过调整程序代码改善软件的质量、性能使其程序的设计模式和架构更趋合理,提高软件的扩展性和维护性。

2014-05-25

数字时钟设计,用的是vhdl语言

根据以上对于多功能数字钟的功能的描述,可以将整个的电路设计分为以下几个模块: 分频模块:由于实验电路板上所能提供的只有1Khz和6Mhz的信号,而本设计过 程的即时以及跑表模块需要1hz、100hz和4hz的时钟信号。 控制模块:为达到多动能数字钟在计时、校时、显示日历、跑表等不同的模块之间 切换,需要控制模块产生时序要不相冲突的控制信号,保证各个模块的功能有序的执行。 计时模块:在输入的1hz时钟信号,产生显示的AM、PM、时、分、秒信号,由 于要涉及到后面的校时模块,这里采用带有置数的计时模块,在load信号控制下将校时模块设定的时间转载至初始值,在初始值的基础上正常计时。 校时模块:当功能切换至校时模块时,本程序采用在外部按键的上升沿即:每按动 一次校时键对应显示相应加1。 万年历模块:在计时模块的进位输出信号(每次跳动代表一年),产生显示的年、月、 日、星期、是否闰年信号,同样类似于计时模块考虑到后面的校正日历模块,这里同样采用带有置数的计时模块,在load信号控制下将校正日历模块设定的日历转载至初始值,在初始值的基础上正常计时。 6. 校正日历模块:切换至该模块时,采用外部按键的上升沿:每按动一次校正键对应的显示相应的加1。 闹钟模块:这里采用和校时模块同样的电路设定闹钟的时间,一旦触发信号为高电 平,触发音乐播放模块,播放歌曲《两只蝴蝶》,不按停止键播放一分钟自动停止。 跑表模块:采用显示毫秒、秒、分的显示格式,并设有stop按钮和reset按钮。 9. 显示模块:采用从控制模块中出来的mode 信号为变量,跟随该信号的变化,选着不同的模块的输出信号,通过两个译码器输出数据连接到数码管显示。 以上简单的介绍了构成电路的几大模块,下面给出本设计电路的总的模块化示意图: 1)10分频模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin10 is port (clk_in:in std_logic;- - 输入时钟信号      clk_out:buffer std_logic);- -输出时钟信号 end fenpin10; architecture rtl of fenpin10 is

2013-09-25

多尺度熵程序第四段

RG1=imread('one.png'); %读入彩色图片 I1=rgb2gray(RG1); %彩色转化成灰度图 [h_img1]=bishe(I1); RG2=imread('2.png') I2=rgb2gray(RG2); %彩色转化成灰度图 [h_img2]=bishe(I2); subplot(2,2,1); imshow(I1); title('正常灰度图像'); subplot(2,2,2);

2013-09-23

多尺度熵第三段

function [e,A,B]=sampencp(y,M,r);%M为模版匹配数 n=length(y); LL=zeros(1,n);%zeros生成全0数组 L=zeros(1,n); A=zeros(M,1); B=zeros(M,1); p=zeros(M,1); e=zeros(M,1); for i=1:(n-1) nj=n-i; y1=y(i); for jj=1:nj j=jj+i; if abs(y(j)-y1)<r*std(y)%计算两数值之差小于规定误差 L(jj)=LL(jj)+1; M1=min(M,L(jj));%计算每一个数值与另一固定值之差小于误差的个数 for m=1:M1 A(m)=A(m)+1; if j<n B(m)=B(m)+1;%统计满足模版匹配数的总的个数

2013-09-23

多尺度熵第二段程序

function [H_img]=bishe(I) I=double(I);[C,R]=size(I); %求图像的规格 Img_size=C*R;%图像像素点的总个数 L=256; %图像的灰度级 nk=zeros(L,1); for i=1:C

2013-09-23

多尺度熵程序

多尺度熵程序代码,仅供参考 一共四段,这是第一段function [h]=mseduochidu(x,m,r) a=length(x); for i=1:1:m %以1为步长1至m b=fix(a/i); %fix向0取整 for j=1:1:b

2013-09-23

打地鼠游戏设计程序VHDL

本设计灵感来源于文曲星上风靡一时的打地鼠游戏,旨在一方面作为娱乐游戏,同时可以锻炼人的反应速度。游戏开始后4*4的点阵中每隔一定秒数(由设计难度决定)会随机点亮一盏,以供选手进行游戏。当一盏灯点亮时,在下一盏灯亮之前按下对应的键盘即可得分,否则失分。游戏开始时难度初始值可设定,随后进行一分钟倒计时,一旦为0游戏结束。 在规定时间内得分最高者胜出。

2012-06-16

微机接口数字示波器程序

数字示波器mcs51单片机用汇编语言编写的程序段

2012-06-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除