自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

zdx19880830的专栏

一瓶酱油

  • 博客(160)
  • 资源 (14)
  • 收藏
  • 关注

原创 SMBUS PEC功能验证

PEC是的简称,主要的目的是提升SMBUS通讯的可靠性和健壮性。PEC字节采用CRC-8算法C(X) = X8 + X2 + X1 + 1对包含设备地址、读写位和数据进行计算得到,追加到通讯消息的末尾。PEC是SMBUS设备的可选功能。

2023-07-22 14:58:15 1179

原创 UCX开源通讯框架

你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Markdown编辑器, 可以仔细阅读这篇文章,了解一下Markdown的基本语法知识。我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,我们增加了如下几点新功能,帮助你用它写博客:撤销:Ctrl/Command + Z重做:Ctrl/Command + Y加粗:Ctrl/Command + B斜体:Ctrl/Command + I标题:Ctrl/Command + S

2023-06-24 16:05:10 960

原创 【无标题】

用户接口管理系统(UIMS)设计数据库任意设计工具的命令。

2023-06-05 23:33:47 125

原创 建立(Setup Time)和保持时间(Hold Time)

保持时间(Hold Time)是指时钟沿之后数据需要保持不变的最短时间。所谓建立时间指的是数据在时钟沿到来前需要保持的最短时间。

2022-10-30 22:19:32 348

原创 电子负载基础

电子负载基础

2022-07-14 18:27:09 506

原创 allegro skill表单学习笔记

allegro skill表单学习笔记

2022-07-09 23:25:09 1130

原创 skill View File学习笔记

allegro skill view file

2022-07-09 22:15:44 221

原创 skill快捷键设置

获取快捷键获取指定快捷键的值;如果t_alias为nil,那么返回系统下alias列表。axlIsProtectAliasaxlProtectAliasaxlMKSAlias

2022-07-09 16:06:12 456

原创 Popup学习笔记

popup学习笔记

2022-07-08 08:03:55 437

原创 skill shape操作笔记

skill shape操作函数

2022-07-07 07:37:03 786

原创 skill layer操作学习笔记

skill layer操作笔记

2022-07-07 07:35:48 777

原创 skill padstack学习笔记

skill padstack操作

2022-07-07 07:33:02 751

原创 skill属性操作

skill 属性操作学习笔记

2022-07-07 07:30:17 535

原创 Skill Object处理

添加对象到选择集从选择集删除对象删除对象显示对象到文件显示对象对象变换高亮对象禁止对象高亮重绘对象重绘被删除的对象。

2022-07-07 07:28:30 420

原创 Skill Module学习笔记

实例创建多模块实例实例创建Module实例获取模块实例定义实例获取模块实例位置实例获取模块实例逻辑方法实例获取模块实例网络异常列表实例

2022-07-06 23:55:46 454

原创 RDMA学习笔记

RDMA

2022-07-05 23:27:13 125

原创 AMD EPYC 7002系列处理器调优

AMD 7002系列处理器由处理器核心、内存控制、IO控制器和安全模块组成,构成一颗多芯片组(MCM)。下图CPU由8颗CCD Die和一颗IO Die构成。Core包含一级缓存(L1 Cache),每颗Core可以支持SMT,每颗可以允许同时执行两个执行线程;另外每颗Core还有专属的512KB二级缓存(L2 Cache)。CCX由四个AMD ZEN2核心和这些核心关联的缓存组成,每个Core拥有专有的二级缓存(L2 Cache),但是四个Core共享一个三级缓存(L3 Cache)。CCD由两个CC

2022-07-03 21:44:11 2030

原创 Skill交互函数

The two examples that follow show how to set up the dynamic cursor:■ A package symbol image with pins connected to other etch, with rubberband lines fromits connected pins to the points where they had originally connected■ A package symbol image dynamic

2022-07-03 19:44:14 698

原创 Allegro Skill重新排版

考虑到SKILL官方文档编排的结构,有些强关联的函数被拆解到几个不同章节,本文主要的目的是将强关联且分散的函数集中到一起。

2022-07-03 10:39:07 485

原创 设置Allegro单位

本文主要是阐述如何设置和获取设计的文件的单位和精度

2022-07-02 22:44:25 544

原创 AttachedText属性笔记

编程中有一个对象是,下面是从BRD的一个电阻获取的。

2022-07-02 22:03:15 215

原创 多边形操作函数

多边形是由一群收尾相连的点集组成的集合,多边形不能交错但是可以获得空洞。

2022-07-01 23:28:07 253

原创 PCB编辑器文件访问函数

返回结果:

2022-07-01 22:42:29 159

原创 配置FT2232波特率

配置FT2232波特率设置波特率设置波特率当使用FTDI VCP(Virtual COM Port)驱动时,波特率可以通过应用程序或者设备管理器进行设置。当通过应用程序设置波特率时,使用Window VCOMM API调用或者COM Port库传递所需波特率给端口。通过这种方式,既可以设置标准波特率也可以是非标准波特率。当使用FTDI的D2xx驱动时,可以使用FT_SetBaudRate函数设置标准和非标准波特率。当使用非标准波特率时,直接传递参数给FT_SetBaudRate函数,分频操作由驱动自己

2022-05-22 09:27:40 1272

原创 FT2232器件Bit Mode函数

FT2232器件Bit Mode函数

2022-05-22 08:40:11 1252 2

原创 DDR SDRAM板卡设计指南

DDR SDRAM板卡设计指南Leveling and Dynamic ODT主要议题如下:端接方案对接收端信号质量影响输出驱动强度对接收端信号质量影响负载类型对信号完整性影响核心目标:理解端接类型、输出驱动强度和负载类型之间的权衡。影响接收端信号质量的关键因素如下: - Leveling and dynamic ODT - Proper use of termination - Output driver drive strength setting - Loading at th

2022-03-26 14:08:50 570

原创 PAM4科普

PAM4科普Data Rate(Gb/s = Gagabit Per Second)PAMn LevelsBit Per SymbolSymbol Rate(Symbol Transfer Per Second)Unit Interval(UI)Nyquist FreqSNR Delta

2022-03-26 11:16:46 2700

原创 高速ASIC封装趋势:集成,SKU和25G+

封装性能比较ASIC封装驱动封装技术阻抗不连续对封装性能影响上升时间对阻抗不连续的影响封装信息和仿真条件封装TX眼图仿真从上述表格可以看出,芯片封装性能主要表现在如下几个方面:晶体管数量封装尺寸核心数存储接口(存储接口、HOST接口、PCIE接口和SATA接口)ASIC封装驱动封装技术阻抗不连续对封装性能影响上升时间对阻抗不连续的影响封装信息和仿真条件封装TX眼图仿真...

2022-03-25 22:03:50 573

原创 Tclsh Array操作

Tclsh Array操作``array set``遍历``array``验证Array是否存在遍历ArrayArray Sizearray setarray set Student { LiLei 29 Gray 31 Rose 23}遍历arrayforeach {name age} [array get Student "L*"] { puts "Name: $name\tAge: $age"}验证Array是否存在if [array exists Student]

2021-10-08 22:56:17 228

原创 LTspice软件电源设置

LTspice软件电源设置直流电压源设置直流电压源设置(含内阻)``SINE``电压源设置直流电压源设置直流电压源设置(含内阻)SINE电压源设置

2021-07-01 22:36:08 9368

原创 TCL/TK分组和替换规则

TCL/TK分组和替换规则Substitution and Grouping SummaryThe following rules summarize the fundamental mechanisms of grouping and substitution that are performed by the Tcl interpreter before it invokes a command:Command arguments are separated by white space, unl

2021-05-04 09:12:29 356

原创 #pragma Directive in C/C++

#pragma Directive in C/C++预处理指令#pragma在C/C++语言为编译器提供额外信息,编译器可以使用这些信息提供一些特殊的功能。序号#pragma指令和描述1#pragma startup; 在执行main()函数前,需要执行#pragma由指定的功能。2#pragma exit; 在程序结束前,需要执行#pragma由指定的功能。3#pragma warn; 用于隐藏告警消息。4#pragma GCC dependency; C

2021-05-01 18:07:35 110

原创 Centos 7.6 Kdump&Crash配置

Centos 7.6 Kdump&Crash配置安装``debuginfo``安装debuginfosudo debuginfo-install kernel

2021-04-23 21:19:21 257

原创 数据模型子类化参考

数据模型子类化参考数据项处理只读访问可编辑数据项可缩放模型导航和模型索引创建拖拽支持和MIME类型处理MIME Data数据模型子类需要提供QAbstractItemModel基类定义的需要一些虚函数的实现,需要实现的虚函数数量取决于模型类型,是提供给视图一个简单列表,还是复杂层次的数据项。从QAbstractListModel和QAbstractTableModel可以充分利用基类提供的默认实现。一些需要将数据按照树状结构显示的模型必须提供QAbstractItemModel基类定义的虚函数。在数据模

2021-02-24 23:41:56 218

原创 Python3处理XML文件学习

Python3处理XML文件学习介绍XML解析器架构和API使用``SAX`` API解析XML介绍XML是Extensible Markup Language的缩写,类似于HTML和SGML。XML适用于小规模或者中等规模且不使用数据库的应用场景。XML解析器架构和APIPython标准库提供处理XML文件最小限度且有效的接口。XML数据处理最广泛使用的API主要有SAX和DOM接口。Simple API For XML(SAX):在这里,你注册感兴趣事件的回调函数,然后让解析器遍历文档。当你

2021-01-17 12:51:40 135

原创 PCI Express物理层 - 电气部分

PCI Express物理层 - 电气向后兼容性PCI Express链路的物理层电气接口包括差分发送器和接收器的一些底层特征。下面将会讨论物理层电气接口用到的信号均衡方法。向后兼容性所有设备的初始训练必须在2.5GT/s速率下完成;速率变更需要链路两端设备进行协商,确定最高共同频率;支持8.0GT/s的Root端口要求必须同时支持2.5GT/s和5.0GT/s;Downstream设备必须支持2.5GT/s,但是所有更高设备是可选的。这意味着支持8GT/s的设备并不需要强制支持5GT/s.

2020-12-31 23:47:40 319

原创 PCIE链路初始化&训练

PCIE链路初始化&训练概述链路初始化过程是指链路从Power-On或者复位后到完全工作L0状态的过程。概述链路初始化和训练是由物理层控制的纯硬件过程,主要配置和初始化设备链路和端口,目的是在链路上进行正常的包通信。...

2020-11-06 22:15:50 879

原创 Excel实操笔记1

Excel实操笔记1获取指定内容在目标搜索区域的所在行合成目标地址获取指定单元地址的内容获取指定内容在目标搜索区域的所在行=match("xxx", sheet2!B:B, 0) return value:100在sheet2的页面的B列搜索目标值"xxx",返回"xxx"在sheet2的B列所在行数。上图示例,表明与"xxx"相同的内容在sheet2的B100位置处。合成目标地址="sheet地址"&"单元格地址"举个例子,比如根据提供的名单在一个目标表格中找到与名单匹配对象的

2020-06-30 12:23:38 120

翻译 Tcl编程风格指南

Tcl编程风格指南致敬原作者Ray JohnsonSun Micronsystems, [email protected]

2020-05-20 22:50:49 302

原创 Serial Vector Format(SVF)文件格式

Serial Vector Format[SVF]文件格式TDR&TIR指令语法描述STATE语法描述参数举例强制总线从当前状态DRPAUSE到DRPAUSE按照指定路径从DRPAUSE到IRPAUSETDR&TIR指令语法TDR length [TDI (tdi)] [TDO (tdo)] [MASK (mask)] [SMASK(smask)];TIR length [TDI (tdi)] [TDO (tdo)] [MASK (mask)] [SMASK(smask)];描述

2020-05-12 23:22:01 3135

Allegro Skill封装创建工具

本工具基于Cadence公司的Allegro Skill语言开发,目标是帮忙封装工具是快速、准确地创建大型BGA封装(目前仅验证过4000多Pin的BGA封装,其他封装暂未验证)。 该工具的优势是可以快速创建大型不规则封装,可以根据芯片厂商提供的PAD名称、PAD位置、PAD类型,快速准确将指定类型PAD放置到指定位置,并在PAD指定位置放置名称。 该工具的收益是节省了封装工程师创建封装的时间,全自动化减少了封装出错的可能性,同时也节省了硬件工程师复查封装的时间

2023-12-20

Static vs Switched Networks.pdf

网络拓扑介绍

2021-10-24

LTspice电源参数解释.pdf

LTspice电源模块的参数解释

2021-07-01

关于Polar Si9000软件License不弹窗无法使用的解决办法----修改注册表.pdf

在Polar Si9000软件学习安装时,部分电脑无法弹出License对话框,导致大家无法使用这款优秀的阻抗计算软件,经网上资料,汇总了一份详细的资料供大家参考。

2020-05-10

Using P-SPICE Models For VISHAY Siliconix Power MOSFETs.pdf

文档以VISHAY的MOSFET器件为案例,详细描述了使用VISHAY的MOSFET的PSPICE模型文件在Cadence的OrCAD套件中进行PSPICE行为仿真。

2020-01-24

emulator.rar

图形化Allegro Skill脚本加载工具,主要目的是方便Skill脚本的开发和调试过程。效果参考:https://blog.csdn.net/zdx19880830/article/details/100674790

2019-09-09

批量添加文件名后缀和批量去除文件名后缀.rar

1.资源内包含两个脚本:encode.bat和decode.bat 2.encode.bat负责给(.pdf, .doc, .xls, .xlsx, .docx, .txt等格式文件)添加(.sugon)的后缀;decode负责将所在所有后缀为(.sugon)的文件去掉.sguon后缀,还原文件; 3.使用说明:将encode和decode放在需要处理的磁盘路径下(系统盘慎重,不建议在系统盘下操作),双击脚本,脚本开始执行,待脚本提示结束时,表示处理完毕。

2019-08-14

村田电感选型指南

村田的电感选型指南,可以作为电感选型的参考资料; 这个手册对开关电源的设计可能有帮助。

2019-05-06

Concept HDL元件库到OrCAD Capture元件库的转换教程

该文档主要用于描述如何把Concept HDL工程中的Symbol转换到OrCAD Capture Symbol

2018-11-14

OpenDCRE说明文档

该文档主要是用于描述OpenDCRE的使用,通过OpenDCRE的API接口,我们可以通过IPMITOOL来实现系统的管理

2018-06-27

Diode Protection For Redudant Power Supplies

关于冗余供电电源的二极管防护设计指南,可以作为设计的一个参考

2018-06-27

TCG PC Client Specific TPM Interface Specification(TIS)

TCG PC Client Specific TPM Interface Specification(TIS)

2018-06-27

EFI 1.1 Shell Commands

EFI Shell Version 1.1的命令规范文档,是学习UEFI Shell编程的不二资料

2018-06-27

CC2541透传规格书V2.9

蓝牙模块CC2541硬件设计文档.提供了硬件设计参考资料和相应的AT命令。

2018-03-12

数字信号处理-张鹰

本课件是电子科技大学的微固学院张鹰老师开的研究生课程课件。同学反映张老师讲课浅显易懂,因而在此分享一下,希望有兴趣的人学习。

2012-11-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除