自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

MeTech--研发专栏

嵌入式软硬件研发

  • 博客(87)
  • 资源 (18)
  • 收藏
  • 关注

原创 FPGA驱动LCD1602实现万年历

接着我的上一篇博文,终于完善好了,前几天太忙了,没有及时上传,呵呵,今天晚上刚比较早刚好凌晨,及时上传一下,实现了从0000---9999年的时钟,其实万年历和十万年历,都是差不多,等到地球能转到9999年再改代码也不迟,哈哈!!我这里有顶层和底层文件,顶层主要是调用模块和做按键处理,具体按键防抖动原理,参见偶的以前的博文,我写完这个万年历的代码,还没来得及优化,占用了太多了逻辑门,可以进一步

2012-05-23 00:07:16 4934 2

原创 modelsim和quartus 联合调试

下面是基于在Altera Quartus II 下如何调用M odelS im  进行仿真的一个实例。 本来想和上一张 modesin 破解应用一起讲解,但是我在写的时候发现太多了,为了清楚明了,我就单独出了一篇。        Quartus II 9.1,modesim 版本为modesim 6.5a,Quartus无法像ISE 那样方便的直接调用ModelSim,而是需要额外的做一些

2012-04-16 22:07:26 9661 1

原创 AM1808 & OMAPL138 研发

说起AM1808,是去年年底画的板子,但是出了点问题,一直扔下了。   首先说说AM1808 的特性吧,感觉比较有用的就是:          1、16bitDDR2 接口支持到512M,         2、一个16bit EMIF 接口,也就是接nor和nand的接口,在TI 这里改叫EMIF 了,呵呵         3、主频456M        4、2个USB 一个主

2011-09-11 22:45:00 4969 9

原创 DSP( TMS320CC6455)和Xilinx FPGA (spartan6 100T)

最近在研发一个图像识别系统,大致要求就是FPGA 实现图像数据的采集,然后DSP 进行图像的预处理,然后通过FPGA 的USB传送到PC。      首先选型。对于FPGA 选了 spartan6  系列的100T ,带高速收发器,这个收发器主要是用来DSP和FPGA 互相交互数据,这种接口类似PCIE,这种高速口,可达到上Gbit的速度,当芯片高端了以后,时钟也上去了,选用了差分时钟,频率为

2011-09-10 23:34:33 5430 1

原创 9G45的SDRAM 版本 正式研发OK

atmel 在2010年之前的ARM最高端ARM 9G45(与9M10兼容)  被研发成功  在现在的市面上大多都是抄袭官方的DDR2 控制器做的,但是9G45也就400M,用DDR2太浪费了,没必要滴,所以我就用SDRAM 实现了,32位,这样修改软件配置就是一个非常大的麻烦,在9G45研发过程中,需要特别注意的是,复位 一般的RC可能不咋么可靠了!可能上档次了吧!呵呵!或则需要一个

2010-07-31 11:45:00 2429 7

原创 CVI 串口调试助手

* 禁止硬件握手,即不用RTS/CTS和DTR/DSR */,附带接收一个00–99的两位数并进行波形绘制的功能,编写过程可见:https://blog.csdn.net/Stark_/article/details/129003839。

2023-11-03 14:48:10 234

原创 CVI 函数

CVI一些函数

2023-11-03 11:37:13 139

原创 KiCad各层简述

KiCad各层简述

2023-08-03 10:45:12 719

原创 采样率、采样频率、带宽、原始信号之间的关系

采样率、采样频率、带宽、原始信号之间的关系

2023-05-26 16:20:46 19528 2

转载 quartus FFT IP核使用

想必学过信号的同学都知道“时域”和“频域”是信号的基本性质,也是分析信号的两个重要方式。(注:本文指的信号均为一维信号,二维信号一般泛指图像信号)信号的时域信息,即我们使用示波器采集信号时,在示波器界面上显示的信号的波形。但信号的频域信息往往隐藏其中,我们不能直接观察信号的频域信息。此时我们需要采集一段时域信号,并对其做傅里叶变换即可得到信号的频域数据。因此接下来,一休哥将带领大家来学习FFT IP核的使用。本文将分四个小部分来展开介绍:1、回顾信号处理相关知识2、FFT IP核的配置3、FFT

2021-09-14 12:05:43 4585 2

原创 modelsim中显示正弦波

在一般情况下,我们仅仅需要看到数据的二进制或者十六进制,但是当信号为方波正弦波信号或者为频谱信号时候,在仿真过程中,如果能够显示出波形信号,那么仿真结果将更加直观,由于公司不能上网,所以在家实现该仿真功能,结果如下:第一步:生成sin波形文件(sin.txt)这一步使用matlab完成,matlab中的代码如下:fc=10e6;n=1/fc/256;t=[0:255]*n;x=sin(2*pi*fc*t);xx=fix(128+(2^7-1)*x)...

2021-09-13 18:01:53 4264 2

原创 常用的verilog系统函数 $feof, fscanf,$fopen,$readmemb,$readmemh,$fdisplay,$display,$fclose,函数的使用

一般常用到的系统函数有几个:$readmemb,$readmemh,$display,$fmonitor,$fwrite,$fopen,$fclose等fscanf函数: $fscanf(文件指针,读取格式,数组)注意:该系统函数每次读取文件中的每一行数据,这当中需要特别注意一点,每一行只能是同一种数据格式,例如:0011_0001,如果出现0b0011_0001,则无法正常的读取。文件指针:指向某一文件的开头,举个栗子,f_r = $fopen(‘xxx.txt’, ‘r’),文件指针f..

2021-09-13 17:51:06 2593

原创 c8051f变量太多的处理办法

硬件:C8051F350/1/2/3 器件内部有位于外部数据存储器空间的 512 字节 RAM。情况:但是keil编译出来有使用了外部512字节RAM中258个字节。正常情况下程序不会跑飞(其实就是进不到main函数)。原因:通过仿真会发现程序一直卡在初始化变量的启动程序中,无限循环重启。 看《C8051F35x_中文...

2020-02-16 10:50:26 741

原创 linux-samba服务器配置

1. Samba软件包的安装使用源安装,在终端中输入如下命令:#sudo apt-get install samba#sudo apt-get install smbclient2. Samba服务器的启动、关闭和重启启动Samba服务器只需执行如下命令:#sudo /etc/init.d/samba start关闭Samba服务器:

2017-07-26 22:11:49 649

转载 fsl系统烧写工具MFGTool2工具详解

fsl i.MX6开发板有多种系统烧写方式,这里介绍使用联机烧写工具MFGTool及其对系统的烧写。MFGTool是fsl官方开发的一套PC联机系统烧写程序,通过USB将PC和开发板连接后,使用MFGTool就可以烧写u-boot,kernel和filesys。MFGTool当前的版本是MFGTool V2。MFGTool程序的具体烧写和运行流程是:一.Boot跳线到U

2016-07-16 15:08:29 2030

原创 ubuntu SSH配置解决方法

本人使用ubuntu 12.04 64位版本,1、可使用软件中心进行界面安装见上图第一个按钮,里面直接输入SSH 自动匹配,第一个就是了。、如果没有图形界面的,那么就需要自己安装了。步骤如下:打开"终端窗口",输入"sudo apt-get update"-->回车-->"输入当前登录用户的管理员密码"-->回车,输入"sudo apt-get inst

2016-07-12 23:56:04 2791

转载 视觉资料管理

整理一下网络资料,有很多引用其他人的资料,自己再提取了,以备后面用。http://www.cvpapers.com/rr.html这网站有很多资料,有时间多去看看。CV牛人的主页,CV研究小组的主页,CV领域的paper,代码,CV领域的最新动态,国内的应用情况等等。打算从事这个行业或者刚入门的朋友可以多关注这些网站,多了解一些CV的具体应用。搞研究的朋友也可以从中了解到很多牛人的研究

2014-03-23 22:47:22 1419

转载 最牛B的编码套路 .

最近,我大量阅读了Steve Yegge的文章。其中有一篇叫“Practicing Programming”(练习编程),写成于2005年,读后令我惊讶不已:与你所相信的恰恰相反,单纯地每天埋头于工作并不能算是真正意义上的锻炼——参加会议并不能锻炼你的人际交往能力;回复邮件并不能提高你的打字水平。你必须定期留出时间,集中锻炼,这样才能把事情做得更好。我认识很多杰出的程序员——这是在亚马逊工

2013-12-02 14:47:41 1198

原创 c#模拟鼠标键盘操作

[DllImport("user32.dll")] static extern void keybd_event(byte bVk, byte bScan, uint dwFlags, uint dwExtraInfo); [DllImport("user32.dll")] static extern byte MapVirtualKey(byte wCode, int wMap);

2013-06-08 17:20:35 2945

原创 WINCE5.0 6.0开发环境配置与SDK下载 .

WinCE5.0 模拟器配置与SDK下载      WinCE5.0中文模拟器SDK的安装过程不细说了,一路默认即可,下面主要介绍如何配置,使其能在VS2005中正常使用。     安装完成后,打开VS2005,点击菜单“工具”——“选项”——“设备工具”——“设备”,选择“Windows CE 5.0 ARMV4I Emulator”,点击“属性”按钮,如下图所示。

2013-01-27 12:39:47 22433 2

原创 Win7下重新搭建WinCE6.0开发平台

笔记本重新安装了Win7的操作系统,WinCE6.0的开发平台自然也需要重新搭建,在搭建过程中遇到过一些问题,再解决之后将正确的安装过程总结如下:(开发板使用的是友善之臂的mini6410)1、安装VS2005;(这时如果就想要编辑以前写过的工程,会发现编译一直报错!这是因为还没有安装下面的补丁!)2、按照友善之臂提供的用户手册,安装VS2005的各种补丁:(1)Vis

2013-01-27 12:33:21 5397

原创 WIN7 安装VS2005 错误1935

1. 安装顺序:VS2005->VS80sp1-KB926604-X86-CHS.exe->VS80sp1-KB932230-X86-CHS.exe2. 当遇到error1935错误时:(1). 修改注册表:HKEY_LOCAL_MACHINE/System/CurrentControlSet/ControlKey: RegistrySizeLimit Type: REG_DW

2013-01-27 11:53:44 5672 2

原创 Windows Embedded CE 6.0开发环境的搭建 .

最近要做一个Windows CE系统上的串行通讯程序,因为在此之前并没有接触过有关Windows嵌入式方面的应用开发,所以搭建开发环境成了摆在面前的第一道难题。本文将记录并总结我搭建Windows Embedded CE 6.0开发环境的步骤和过程中碰到的问题,希望给同为Windows嵌入式开发初学者的朋友们提供一个参考。      我最终确定的开发环境方案为:Windows XP SP3 +

2013-01-26 18:15:53 3306

原创 HMC741和AD9914的调试总结

微波电路调试,在网上基本是找不到调试总结,本人初步涉及微波电路,因此吧自己工作的点点滴滴记录下来,供自己和大家学习参考。注意:本文为本人原著,没有许可不允许转载和抄袭。   HMC741放大器,其典型电路如下图:    其决定频率的关键器件是C1,C2,L1,其中C2 的后级电容也起到了隔直的作用,其C1,C2 与频率不匹配的话,就会出现功率衰退厉害,C1如果越小,阻抗

2012-12-05 21:36:58 6260 4

原创 C8051F330 Flash访问单元

C8051F330 Flash访问单元2011-06-27 11:20头文件自己搞吧,不贴了,写Flash时候记得先要擦除。代码如下01 /*02 ================================================================================03 File Name      : Fl

2012-08-24 22:16:38 2858 5

原创 安装Vmwtools 与SAMBA 共享文件

ubuntu 与windows 共享文件的好处就是,我们可以吧源码放在windows 下面,通过共享到linux,实现,windows 下面编辑,linux 下面编译,这样方便我们开发1、安装tools首先执行如下命令:  cd /usr/src/2.6.35-22-generic/include  cp -p generated/utsrelease.h li

2012-07-10 16:35:41 998

原创 初学linux移植,记下一些基本命令

linux 下面很多都需要root 权限,因此我们最好在一开始就sudo su  使用root 登陆 1、关机 shutdown -f now  但是需要root 权限2、很弱智的,也是最常用的几个       ifconfig   查看IP,mkdir  创建文件夹    rm -rf /XXX   删除有文件的目录,cd 进入下层,ls 打印当前目录,cd .. 返回上一层

2012-07-10 00:13:07 1942

原创 verilog 语言实现任意分频

分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器。下面以Verilog HDL 语言为基础介绍占空比为50%的分

2012-06-07 11:29:23 52912 3

原创 xilinx Spartan 6 FPGA  配置 SPI Flash 芯片

打开 iMPACT 1、双击 Boundary Scan2、在 右边的 空间区域 右键单击 已经找到了FPGA 芯片,但并未找到 Flash 芯片。选  NO 不配置 bit 文件至 FPGA , 当前配置为 下载 bit 流至 FGPA的对话窗。 要下载配置文件至 Flash芯片,必须先准备相应的文件。即 把 bit流  文件转为 Flash 芯片可以

2012-06-05 09:14:51 21249 5

原创 FPGA 学习一句话备忘录

学FPGA 1个月有余了,本人有一下体会,现每一个总结一句话如下:1、代码要规范。        verilog 跟C 一样,都要有良好的  Coding Standard(编程规范)。该换行的换行,改对齐的对齐,  本人推荐一个代码编辑工具是 notepd++,支持很多种语言,无需安装语言包,安装包也很小,在几M,2、习惯英文      为什么这么说呢,原因有2,

2012-06-02 23:39:00 2190 1

原创 QII中的几个Warning的解决方法

前几天看网上一个人说在仿经典基础程序按键消抖实验的时候0 error、0 warning,正好我也在仿这个实验,就想说看看我能不能也出现这样爽的结果,没想到最后出了6个warning,于是施展搜索大法,力争把几个warning消灭掉!1.Warning: An incorrect timescale is selected for the Verilog Output (.VO) file

2012-06-02 21:43:57 9223 2

原创 热烈祝贺自己的方案活得了与非电子认可获得赠送的STM32F4 开发板

哈哈!今天收到了上海发来的开发板才想起自己几个月前写过一篇 方案,提交到与非电子,我还以为没消息了,结果今天就收到了!但是可惜的是,我想要的是T 血,因为我觉得T 血更有纪念意义,因为打了ST 公司的log,哈哈!!都无所谓了!在这里自己给自己显摆一下!!

2012-05-23 13:33:17 1475 1

原创 USB 2.0 走线要点

最近做了一个PCB,走线宽度为3.5mil,间距为8mil,板厚1.6,TOP到GND 之间为0.3mm,因此USB阻抗超大,超过了80--100 的范围,USB 下载小文件可以,下载大文件,就超级不行!一个惨痛的教训,让我载抄了信号完整性分析的一段:深刻体会,钱的教训的深刻的!!  USB通用串行总线(Universal Serial Bus),目前我们所说的USB一般都

2012-05-18 13:58:22 4414 1

原创 Synergy工具 共享 鼠标键盘

Synergy工具,绝对好玩而且好用,下面我们一步步,搞定一套鼠标键盘同时操作windows和linux双系统,本篇的环境是windows xp和ubuntu9.101.安装工具windows搜索Synergy1.3.1,默认安装即可ubuntuapt-get install synergy2.配置windows端作为服务器,比较方便,下面是配置:打开windows

2012-05-17 14:32:37 1039

原创 FPGA verilog 实现的1602 时钟计数器

熬夜到了1点了,终于写出了1602的时钟计数器代码。为什么是时钟计数器呢?因为我还没来得及做校准时间,所以只能称之为时钟计数器,不能成为电子钟。网上很少用人公开这一类代码,一搜FPGA  1602,都是写一个静态的显示,在实际应用中,是没有用的,因此这个简单的例子,给大家抛砖引玉了! 上代码: Qii 9.0编译过,21EDA 开发板测试OK module LCD

2012-05-17 00:54:40 6491 3

原创 FPGA 按键防抖动,最精简的写法只有10行

最近学了FPGA 一段时间,想自己做个真实的东西,笔者也是务实求真的人,呵呵。首先就选择了一个数字钟,当我昨晚了计数器模块,做按键校准的时候,就发现按键抖动的很厉害,没有C 语言做防抖动那么简单,因此我参考了网上很多博主的观点,总结了自己认为最简单,最精简的一个写法,如下: 进程模块内代码只有10行。哈哈  reg key_reg1,key_reg2,key_out; always @

2012-05-10 00:52:34 9787 7

原创 quartus PLL 实现 任意分频

1、讲到任意分频,我们就需要借助quartus 强大的硬核 PLL,当然PLL 是模拟电路,是不可能用verilog 或则VHDL 描述出来的,他只是提供给我们一个调用的端口。下面我们就绝缘体实施,任意分频,我们先建立quartus 工程,不再讲解, (1)创建一个Megafunction。此在tools--》MegaWizard Plug- In ........,初次建立 选第一项,点

2012-04-30 01:13:47 20370 1

原创 深入分析 verilog 阻塞和非阻塞赋值

1、学verilog 一个月了,在开发板上面写了很多代码,但是始终对一些问题理解的不够透彻,这里我们来写几个例子仿真出阻塞和非阻塞的区别,我们先上代码 module LED   (     CLK, RSTn,     scan,     flag ,    c,    ,one,two,three,four);      input CLK;

2012-04-24 22:13:04 16407

原创 学 CRC 原理和算法的总结

下载本节例子程序 (4.29 KB) CRC是什么东西呢?其实我们大家都不应该会对它陌生,回忆一下?你用过RAR和ZIP等压缩软件吗?它们是不是常常会给你一个恼人的“CRC校验错误”信息呢?我想你应该明白了吧,CRC就是块数据的计算值,它的全称是“Cyclic Redundancy Check”,中文名是“循环冗余码”,“CRC校验”就是“循环冗余校验”。(哇,真拗口,希望大家

2012-04-21 00:45:30 982

原创 modelsim-altera 6.5b 破解和仿真应用

下载破解工具把新的license.txt放到D:\altera\91\modelsim_ae里(具体路径按你自己安装的为准)右击我的电脑,添加环境变量LM_LICENSE_FILEMGLS_LICENSE_FILE变量值均为 D:\altera\91\modelsim_ae\LICENSE.txt一般的说明,只是说要添加LM_LICENSE_FILE,但是,添加MGLS_LIC

2012-04-16 00:27:41 4853 1

ZYNQ底板的电路原理图

领航者ZYNQ底板原理图

2023-10-18

ZYNQ核心板原理图7020&7010

ZYNQ核心板原理图7020&7010

2023-10-18

LabWindows/CVI2009sp1开发的串口数据收发软件

LabWindows/CVI2009sp1开发的串口数据收发软件,可直接运行。100%能用。

2022-05-09

SiLabsMCUExamples.rar

SiLabs MCU 参考例子,稍作修改,就可以变为自己的功能模块,全系列的单片机都有的。稍作修改,就可以变为自己的功能模块,全系列的单片机都有的。

2020-07-23

JLX25664G-251-PC中文字库编程说明书.pdf

JLX25664G-251-PC中文字库编程说明书

2020-07-23

GPS+北斗定位模块使用说明书+V2.3.pdf

GPS+北斗定位模块使用说明书,一体化模块的。

2020-07-23

FMC ANSIVITA_57.1.pdf

FMC 接口标准ANSIVITA_57.1.pdf

2020-03-24

PI3741原理图

vicor PI3741原理图

2018-12-04

BQ76930 EVK介绍和原理图

BQ76930 EVK介绍和原理图

2018-12-04

磁编码器伺服驱动器使用说明

磁编码器伺服驱动器使用说明v1.3

2018-12-04

FPGA驱动LCD1602做的数字时钟

FPGA驱动LCD1602做的数字时钟 源码,网上很少用人公开这一类代码,一搜FPGA 1602,都是写一个静态的显示,在实际应用中,是没有用的,因此这个简单的例子,给大家抛砖引玉了!

2012-05-22

51 单片机RF905驱动代码

51 单片机RF905驱动代码,调试OK 的,有串口透明收发数据

2012-04-17

中兴allegro 使用手册

中兴allegro 使用手册 从原理图--PCB--仿真--高级功能应用,都有讲解

2012-03-01

模拟电路--华为讲义(下)

模拟电路--华为讲义(下)

2012-03-01

模拟电路--华为讲义(上)

模拟电路--华为讲义(上)

2012-03-01

Jlink—Pro原理图

Jlink—Pro原理图,官方版本,正版,非盗版。哈哈

2012-03-01

JZ4760 datasheet

JZ4760 datasheet,君正刚刚公开的!!!XBurst_Jz4760_ds.pdf

2010-08-17

无线模块RF905 51单片机各类测试代码!!

无线模块RF905 51单片机各类测试代码!! 有串口收发透明数传,有无线按键收发。

2010-05-17

MSP430F1611开发板原理图

MSP430F1611开发板原理图,现在已经做成了电路板!!

2008-09-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除