自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (2)
  • 问答 (1)
  • 收藏
  • 关注

原创 基于vivado的DDR3仿真

vivado环境仿真ddr3

2022-07-13 19:51:46 4017 6

原创 FPGA截位操作

MATLAB模拟不同的截位操作FPGA里的截位问题其实直接截位之所以出现直流分量可以这样直观的来认识:从matlab程序中也可以看出,对于FPGA信号截位来说,实际上就是向下取整;对于有符号数来讲,正数向下取整在数轴上是向原点零靠近,然后负数向下取整则是远离原点零了,这是因为负数以补码形式表示,它的补码所对应的无符号数经截位后向原点零靠近了,换算成有符号的负数时,则是远离原点零了。因此截位实际造成的效果是信号整体向负无穷方向平移了,即对于一个白噪声序列来讲,原本均值为零,截位后均值变为了负数。常见

2022-04-08 10:36:04 4332

原创 XQN-vivado fix类型

fix类型说明文档XQN定义 X为整数部分、N为小数部分。例如,定义一个16位数,使用2Q13(也可以叫Fix16_13,这里也能看出来,13+ 2 +1等于16,符号位是一定有的,那么就剩整数位了),则[15]为符号位、[14-13]为整数部分(即21,20)、[12-0]为小数部分(即2-1,2-2,2-3…2-13)...

2022-04-01 10:11:54 1868

原创 FSM序列机Verilog

https://cloud.tencent.com/developer/article/1801179

2022-03-10 22:38:12 288

转载 SPI四种时序

无论是哪一种时序,都在数据中间进行采样,在数据跳变出输出。1.模式0(CPOL=0 CPOL=0)CPOL = 0:时钟线空闲时是低电平,第1个跳变沿是上升沿,第2个跳变沿是下降沿CPHA = 0:数据在第1个跳变沿(上升沿)采样时序图如下:2.模式1(CPOL=0 CPOL=1)CPOL = 0:空闲时是低电平,第1个跳变沿是上升沿,第2个跳变沿是下降沿CPHA = 1:数据在第2个跳变沿(下降沿)采样时序图如下:3.模式2(CPOL=1 CPOL=0)CPOL = 1:空闲时是

2022-03-10 15:20:18 1502

转载 I2C协议及编程

原理它的物理层有如下特点:1、它是一个支持多设备的总线。“总线”指多个设备共用的信号线。在一个I2C通讯总线中,可连接多个I2C通讯设备,支持多个通讯主机及多个通讯从机。2、一个I2C总线只使用两条总线线路,一条双向串行数据线(SDA) ,一条串行时钟线 (SCL)。数据线即用来表示数据,时钟线用于数据收发同步。3、每个连接到总线的设备都有一个独立的地址,主机可以利用这个地址进行不同设备之间的访问。4、总线通过上拉电阻接到电源。当I2C设备空闲时,会输出高阻态,而当所有设备都空闲,都输出高阻态时

2022-03-10 14:55:32 709

转载 JESD204B时序仿真

http://blog.sina.com.cn/s/blog_6d10b2c40102xcpr.html为了debug的方便,我在vivado里仿真了jesd204b的接收与发射,知道了正确的码流是怎样的,才能在调试电路板中准确的确定接口的状态。我用了如下图所示的连接方式:tx_tdata用sin函数生成。core reset之后30.98us,tx core才返回reset完成的信号,tx_aresetn拉高,41.96us,rx core才返回reset完成的信号,rx_aresetn拉高。

2022-01-24 15:51:05 1985 1

原创 JESD204B接口和Xilinx IP核学习笔记

JESD204B1、jesd204b概述2、时钟3、JESD时钟计算实例1、jesd204b概述jesd204b是一种基于高速SERDES的ADC/DAC数据传输接口。详细介绍可以参考:https://blog.csdn.net/u013184273/category_10859615.html。初学的时候有好多问题没理清楚,现在记录一下:1、jesd204b分为几个子类,其中subclass 1 支持确定性时延,是最为常用的一个。由于AD/DA一般有多个lane来传输数据,但是每条lane上的时延

2022-01-13 20:09:26 12107 2

原创 Xilinx ip核之FIFO和RAM

根据网上资料和自己理解整合而成,参考文章和代码链接在文章结尾。FIFO和RAM1、 FIFOFIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。FIFO可以用于:(1)跨时钟域多bit传输:读写可以由不同的时钟控制,使用异步FIFO可以在两个不同时钟系统之间快

2021-12-13 16:36:45 4713

原创 MATLAB R2016a安装包、破解文件和安装教程

网盘里面包括MATLAB R2016a安装包、破解文件和安装教程。下载软件后按照Word文档里写的一步一步破解就可以了。亲测有效。链接:https://pan.baidu.com/s/15G8W48CrcZqrmNiHdph7MQ提取码:shqq复制这段内容后打开百度网盘手机App,操作更方便哦...

2019-07-02 20:32:00 1578

linux常用命令说明

linux常用命令说明

2022-04-15

vivado fix(1+x+N)_N格式.pdf

自用

2022-01-10

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除