• 博客(0)
  • 资源 (27)

空空如也

bat批处理教程

bat批处理教程,适合初学者学习下。 bat批处理教程,适合初学者学习下。

2014-04-15

《VHDL电路设计》书中源代码

《VHDL电路设计》书中源代码。很不错的一本书。这里提供代码~~

2011-12-30

CRC校验相关资料

CRC校验相关资料.关于用C语言实现的。还有原理。

2011-12-30

VHDL 实用教程(潘松)

本书比较系统地介绍了VHDL的基本语言现象和实用技术 全书以实用和可操作 为基点 简洁而又不失完整地介绍了 VHDL基于 EDA技术的理论与实践方面的知识 其中包括VHDL语句语法基础知识 第1章 第7章 逻辑综合与编程技术 第9章 有限状态机及其设计 第10章 基于FPGA的数字滤波器设计 第11章 多种常用 的支持VHDL的EDA软件使用介绍 第12章 VHDL数字系统设计实践介绍 第13 章 和大学生电子设计赛题的VHDL应用介绍 第14章 全书列举了大量VHDL设 计示例 其中大部分经第12章介绍的VHDL综合器编译通过 第13章的程序绝大部分 都通过了附录介绍的EDA实验系统上的硬件测试 可直接使用 书中还附有大量程序 设计和实验/实践方面的习题 本书可作为高等院校的电子工程 通信 工业自动化 计算机应用技术 电子对抗 仪器仪表 数字信号处理 图像处理等学科领域和专业的高年级本科生或研究生的VHDL 或 EDA 技术课程的教材及实验指导 也可作为相关专业技术人员的自学参考书

2010-04-30

现代EDA技术及其发展

信息产业是 21 世纪的战略性产业, 而 EDA技术在其中起着举足轻重的作用。 阐述了 EDA技术的含义及特点, 介绍了 EDA技术的主要内容及设计流程, 对 EDA技 术今后的应用和发展前景进行了预测和展望。

2010-04-30

《数字图像处理》(第二版)英文版习题答案(全)

《数字图像处理》(第二版)英文版习题答案(全)

2010-01-28

数字图像处理大实验(汽车车牌定位与字符识别)

汽车车牌定位与字符识别 一、 实验目的: 1、巩固理论课上所学的知识。 2、锻炼动手能力、激发研究潜能,增强理论联系实际的能力。 二、 设计原理与步骤: 定位汽车车牌并识别其中的字符,采用Matlab平台提供的一些图像处理函数,以傅立叶变换通过字符模板与待处理的图像匹配为核心思想。基本方法如下: 1、读取待处理的图像,将其转化为二值图像。 2、去除图像中不是车牌的区域。 3、为定位车牌,将白色区域膨胀,腐蚀去无关的小物件,包括车牌字符。 4、此时车牌所在白色连通域已清晰可见,但一个更大的连通域将车牌所在连通域包围了,需将其填充。 5、查找连通域边界。同时保留此图形,以备后面在它上面做标记。 6、找出所有连通域中最可能是车牌的那一个。 判断的标准是:测得该车牌的长宽比约为X:1,其面积和周长存在关系:(X×L×L)/(2×(X+1)×L)2≈1/Y,以此为特征,取metric=Y*area/perimeter^2作为连通域的匹配度,它越接近1,说明对应的连通域越有可能是X:1的矩形。 7、将车牌图像反白处理,并扩充为256×256的方阵,以便傅立叶变换中矩阵旋转运算的进行。 8、从文件读取一个字符模板。对图像计算傅立叶描述子,用预先定义好的决策函数对描述子进行计算。变换后的图像中,亮度的高低指示相应区域与模板的匹配程度。 9、确定一个合适的门限,显示亮度大于该门限的点,也就是与模板的匹配程度最高的位置。 10、对照图片,可以说明相应字符被识别和定位了。 三、 实验记录及分析: 待处理的图像如下所示。图像整体比较清晰干净,车牌方向端正,字体清楚,与周围颜色的反差较大。读取待处理的图像,将其转化为二值图像。 经试验,采用门限值为0.2附近时车牌字符最为清楚,杂点最少。

2010-01-28

数字图像处理课程综述(英文版+中文翻译)

Image compression is minimizing the size in bytes of a graphics file without degrading the quality of the image to an unaccceptable level. The reduction in file size allows more images to be stored in a given amount of disk or memory space. It also reduces the time required for images to be sent over the Internet or downloaded from Web pages. There are several different ways in which image files can be compressed. For Internet use, the two most common compressed graphic image formats are the JPEG format and the GIF format. The JPEG method is more often used for photographs, while the GIF method is commonly used for line art and other images in which geometric shapes are relatively simple. 图像压缩是指在不影响图像质量,在可接受的水平下,最小化图形存储字节大小。文件大小减少就允许一个磁盘或一定量的内存空间中存储更多的图像。它也降低了图像在互联网上发送或下载网页所需的时间。 图像文件压缩方法有很多种。对于互联网的使用,两种最常见的压缩图形图像格式包括JPEG和GIF格式。JPEG方法更常用于照片,而通常的GIF方法多使用在几何形状相对简单的线条艺术和其他图像。

2010-01-28

现代电视技术课程综述

科技发展永无止境,同样,电视的发展理论上也无终极。虽然在过去的百年中,电视已经历了从机械电视到电子电视、从黑白电视到彩色电视、从模拟电视到数字电视等几个里程碑阶段,但它依然在继续向前发展,正朝着视听享受逼真化、功能应用多元化、连接操作便捷化等多个方向发展。随着科学技术的发展,电视的换代速度越来越快。电视技术的发展日新月异,学好这门课对以后的工作和学习具有重要意义。

2009-11-08

检测与传感器本科试卷

检测与传感器、本科试卷、复习的时候可以看看、有答案

2009-06-12

自动控制原理习题答案

自动控制原理习题答案、第四版、发部分的答案都有

2009-06-12

ls74系列芯片引脚图、逻辑功能表

74系列芯片引脚图。比较全。还有逻辑功能。

2009-04-12

数据结构本科试卷及答案

数据结构本科试卷及答案。卷子不是很难,适合非计算机专业学生。

2009-01-21

数据结构本科实验源代码及实验截图

数据结构本科实验源代码及实验截图 适用于非计算机专业学生,实验不是很难。

2009-01-21

高频电子线路本科考试试卷及复习重点

高频电子线路本科考试试卷及复习重点。内有8套试卷和答案。

2009-01-21

信息论与编码试卷及答案

信息论与编码模拟试卷及答案 信息论与编码试卷及答案 信息论与编码试卷及答案

2009-01-01

微机原理期末试卷(东南大学)

微机原理期末试卷(东南大学) 两份卷子都有答案

2008-12-19

微机原理与接口技术课程综述

CPU是中央处理单元(Central Process Unit)的缩写,它可以被简称做微处理器(Microprocessor)。不过经常被人们直接称为处理器(processor)。 CPU是计算机的核心,其重要性好比心脏对于人一样。实际上,处理器的作用和大脑更相似,因为它负责处理、运算计算机内部的所有数据,而主板芯片组则更像是心脏,它控制着数据的交换。CPU的种类决定了你使用的操作系统和相应的软件。CPU主要由运算器、控制器、寄存器组和内部总线等构成,是PC的核心,再配上储存器、输入/输出接口和系统总线组成为完整的PC。 CPU从最初发展至今已经有二十多年的历史了。这期间,按照其处理信息的字长,CPU可以分为:四位微处理器、八位微处理器、十六位微处理器、三十二位微处理器以及六十四位微处理器等等。

2008-12-12

EDA学期期末课程综述

二十世纪后半期,随着集成电路和计算机的不断发展,电子技术面临着严峻的挑战。由于电子技术发展周期不断缩短,专用集成电路(ASIC)的设计面临着难度不断提高与设计周期不断缩短的矛盾。为了解决这个问题,要求电子工程师必须采用新的设计方法和使用高层次的设计工具。在此情况下,EDA技术应运而生。 在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA 技术的含量正以惊人的速度上升,电子类高新技术项目的开发也更加依赖于EDA 技术的应用。即使是普通的电子产品的开发,EDA 技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA 技术将迅速成为电子设计领域中的极其重要的组成部分。 本综述简要阐述了EDA 技术的含义及特点,介绍了 EDA技术的主要内容。并结合实际,对我国EDA技术今后的应用和发展前景进行展望。

2008-12-12

《微机原理与接口技术》 学习感想

本学期微机原理课程即将结束,关于微机课程的心得体会甚多。 初学《微机原理》时,感觉摸不着头绪。面对着众多的术语、概念及原理性的问题不知道该如何下手。在了解课程的特点后,我发现,应该以微机的整机概念为突破口,在如何建立整体概念上下功夫。“麻雀虽小,五脏俱全”,可以通过学习一个模型机的组成和指令执行的过程,了解和熟悉计算机的结构、特点和工作过程。

2008-12-12

高频电子线路答案 西电版

高频电子线路答案 西电版 很全的 每题都有

2008-12-07

信号与系统答案 郑君里 第二版

信号与系统答案 郑君里 第二版 第一章到第九章

2008-12-07

电磁场与电磁波第二版答案

电磁场与电磁波第二版答案 完整版 第一章到第八章

2008-12-07

vhdl数字时钟设计

1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。

2008-12-06

Multisim 9实验详解

Multisim 9是IIT公司推出Multisim 2001之后的Multisim最新版本(06年底又发布最新的版本Multisim10)。Multisim 9提供了全面集成化的设计环境,完成从原理图设计输入、电路仿真分析到电路功能测试等工作。当改变电路连接或改变元件参数,对电路进行仿真时,可以清楚地观察到各种变化对电路性能的影响 。

2008-11-28

适合于QuartusII的部分器件引脚对照表

适合于QuartusII的部分器件引脚对照表 GW48-CCP,GWAK100A EP1K100QC208 GW48-SOC+/ GW48-DSP EP20K200/300EQC240 GWAK30/50 EP1K30/20/50TQC144 GWAC3 EP1C3TC144 GW48-SOPC/DSP EP1C6/1C12 Q240 GW48-XS200 GW48-X S400

2008-11-28

vhdl设计的秒表程序

vhdl设计的秒表程序 含有三个子模块 CNT10 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT10 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT10; architecture behavioral of CNT10 is signal counter:std_logic_vector(3 downto 0); begin process(clk,rst) begin if rst='1'then counter<="0000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="1001"then counter<="0000";cout<='1'; else counter<=counter+"0001"; cout<='0'; end if; end if; end if; end process; count<=counter; end behavioral; CNT6 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CNT6 is port(count:out std_logic_vector(3 downto 0); cout:out std_logic; cin,rst,clk:in std_logic); end CNT6; architecture behavioral of CNT6 is signal counter:std_logic_vector(2 downto 0); begin process(clk,rst) begin if rst='1'then counter<="000";cout<='0'; elsif clk'event and clk='1' then if cin='1' then if counter="101"then counter<="000";cout<='1'; else counter<=counter+"001"; cout<='0'; end if; end if; end if; end process; count(2 downto 0)<=counter; count(3)<='0'; end behavioral; CLKGEN library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity CLKGEN is port(CLK:in std_logic; NEWCLK:out std_logic); end CLKGEN; architecture one of CLKGEN is SIGNAL CNTER:INTEGER RANGE 0 TO 16#270F#; BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1'THEN IF CNTER=16#270# THEN CNTER<=0; ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) BEGIN IF CNTER =16#270F# THEN NEWCLK<='1'; ELSE NEWCLK<='0'; END IF ; END PROCESS; END one;

2008-11-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除