自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

程序猿Boris--CodeMonkey Boris

每一个错误的经验积累,就是通向成功的阶梯。 Each mistake I made shall become one of the stairs towards success.

  • 博客(67)
  • 资源 (8)
  • 收藏
  • 关注

转载 Python Hashmap/Dictionary 使用指南

Python来自:http://www.dotnetperls.com/dictionary-pythonBuilt-in Dictionary List Set Tuple 2D Array Bytes Class Console Convert Datetime Duplicates Error File Find If Lambda Len Lower Map M

2015-09-04 04:09:29 11639

转载 What are the differences between numpy arrays and matrices

关于Numpy array 和 Matrix的不同,本文转载自StackOverflow。并且同时发现改回复已被翻译:原文:http://stackoverflow.com/questions/4151128/what-are-the-differences-between-numpy-arrays-and-matrices-which-one-should-i-uNump

2015-09-02 11:03:22 909

转载 FSMC STM32 + FPGA

临时看到的QQ群讨论,说可以用FSMC这项技术实现STM32与FPGA的通信,而且速度很快。有时间学习一下。

2015-08-10 07:21:06 6765

原创 SOM_PAK源码编译出现getline重定义问题的解决

由于Research的项目需求,思索在三,还是决定放弃使用现成的SOM库(无论是Python还是R都不能满足要求)而自己用c语言从头实现自己的功能。也许是精益求精,也许是浪费时间,决定开始了,于是Just Do It!但是从赫尔辛基大学网站上下载到作者的源代码后,竟然第一步编译都通不过:gcc -O2 -c -o vcal.o vcal.c In file incl

2015-08-02 06:48:56 1431 1

原创 16个网站 --- 免费的人工智能电子书

这个网址包含了16个网址:免费的人工智能电子书有的是可以直接下载的,有的需要注册,有的智能在线阅读。不过很有用了!http://www.getfreeebooks.com/?p=10831

2015-07-24 03:59:42 1811

原创 Dropbox 在ubuntu14.04下无法启动等各种问题

Dropbox 是我的工作目录,编程还得在Linux下。 可是我突然发现升级到ubuntu 14.04后dropbox无法正常工作了。在Software Center中发现如下解决方案实验成功:sudo rm -rf /var/lib/dropbox/.dropbox-distdropbox start -i希望能够帮助到你

2015-07-15 23:24:38 1088

转载 installation of package ‘rgeos’ had non-zero exit status

I got the answer from this blog:http://askubuntu.com/questions/312294/once-installed-geos-library-c-and-c-and-then-trying-to-install-rgeos-packa================================================

2015-07-11 11:08:43 9933

原创 It's because your R is too old

I just want to install ggplot2 for R. But it says I don't have reshape2. Then I tried to install reshape2 but it says " plyr package for R not available for R version 3.0.2"I thought this is bec

2015-07-11 10:36:25 571

转载 Install gcc on iOS

This is what I referred and I succeeded installing gcc on my ipad 1 with iOS 5.1.1.http://www.ccvita.com/517.html1. Prepare the terminal:There are 2 options:option 1 : Cydia instal

2014-10-20 12:12:31 1908

原创 Eclipse Coding Tips

1. LEFT Intent codes in multiple linesSelect multiple lines you want to move left a TAB.

2014-09-26 07:48:02 572

原创 SystemC Install

Firstof all, I think this blog is great but still not so complete. Youmight end up figuring out how to set up the Environment Variable Pathby yourself, which might be a little difficult for new Linu

2014-09-24 21:29:35 2291

转载 android Log图文详解(Log.v,Log.d,Log.i,Log.w,Log.e)

在Android群里,经常会有人问我,Android Log是怎么用的,今天我就把从网上以及SDK里东拼西凑过来,让大家先一睹为快,希望对大家入门Android Log有一定的帮助.android.util.Log常用的方法有以下5个:Log.v() Log.d() Log.i() Log.w() 以及 Log.e() 。根据首字母对应VERBOSE,DEBUG,INFO, WARN,ER

2014-09-23 00:11:00 814

转载 VeryCD下载方法

自2012年8月30日之后,verycd上所有资源的ed2k下载链接均被隐藏。没有登录的会员会显示“该资源为版权方声明保护内容,VeryCD不提供其下载”的字样现在电驴也不让下载了,和以前的狗狗一样,资源都屏蔽了,今天无意得到了一个可以下载电驴上的资源的方法,很简单,应该是漏洞,不知道能用多久,但是目前至少可以用。自2012年8月30日之后,verycd上所有资源的ed2k下载链接均被隐藏。没有登

2014-08-27 12:16:08 2002

原创 11/06/2014

再好的决定,再好的选择,再完美的plan,只要是moushizair

2014-06-10 22:57:58 665

原创 Something New To Learn

bacnet协议----楼宇自动化76800 串口波特率

2014-04-29 09:00:47 758

原创 将FPGA(Nios软件部分)程序放在SDRAM里面跑

加了Nios并在上面跑uCOS的时候,nios里面的onchip memory已经无法满足程

2014-04-25 13:40:27 3786 1

转载 NIOS II SPI详解 如何使用SPI方式传输

1、说明本文是依据笔者阅读《Embedded Peripherals (ver 9.0, Mar 2009, 4 MB).pdf》参考文档所作的个人理解,可以看做是笔记吧。本文只讲NIOS II嵌入式外设SPI的原理与使用,关于IP-CORE的使用,请读者参考 《SPI Slave JTAG to Avalon Master.pdf》。在下一篇文章中将用实例说明如何用SPI

2014-04-24 15:48:25 3645

原创 Windows下C语言环境搭建VIM+GCC+CTAGS

1. VIM不说了,EXE安装就行2. GCC有好多中选择,各种麻烦

2014-04-24 11:05:21 2010

原创 QPython起步

像我这种Geek就喜欢弄些稀奇古怪的。人生苦短,爱上Python的我,想把地铁上的时间也霸占了xie

2014-04-11 17:26:13 3154

转载 手动安装Tiny Core Linux

我之前自己试验时,用CorePlus(即最大的那个70+MB的)中得图形化安装选择Text Only,安装出来虚拟机硬盘占了33M!我就纳闷了,应该9M左右才对啊!TC官网上的Install guide和Older的都是图形化的。我下载了9MB的Core后,进去连/mnt/sr0下的boot都没有!!!找了好多资料,终于找到一个非常详尽的。感谢这位老外!============

2014-03-28 09:49:13 10862

转载 Thinking Small With Tiny Core Linux

http://ostatic.com/blog/thinking-small-with-tiny-core-linuxI recently had the need to build a virtual appliance, a small Linux server that did one thing, and required no interaction. And b

2014-03-27 16:03:27 1398 2

原创 在Virtual Box中搭建Tiny Core Linux SSH服务器

本文实现手机在Virtual Box中搭建Tiny Core Linux SSH服务器,手机连接同一局域网后,ssh连接该服务器。后面还会继续研究怎样从别的网连接虚拟机里的服务器。1. 首先注意的一点是,需要将Virtual Box的网络设置成Bridged Adapter模式。因为默认的NAT模式使虚拟机在网络中不可见;而Bridged Adapter模式使得虚拟机在网络中如同一

2014-03-26 10:47:55 3362

原创 tiny core linux ssh服务器(安装openssh)

以tiny core为示例,其他linux应该差不多(1) 先在Apps里面搜索Openssh.tcz下载+Load.(2)打开终端配置SSHcd   /usr/local/etc/sshsudo cp ssh_config.example ssh_configsudo cp sshd_config.example sshd_config sudo

2014-03-25 08:43:19 5788

原创 投机取巧配置VBox共享文件夹(主机Win虚拟机Tiny Core Linux)

今天需要在linux上跑点程序,但是有些程序的IDE需要保持在Win,而且还开着许多网页。所以装了个虚拟机。公司还不许下载大东西,一个ubuntu快700M了。于是果断tiny core了。完成安装后,下载了compiletc和python,就需要把Win底下的程序拿到Linux下运行。共享文件夹设置的资料大部分都是Ubuntu和CentOS的,什么启动后Device==>install gu

2014-03-21 17:22:31 1941

原创 终端直接执行py文件,不需要python命令

然后给脚本文件运行权限,方法(1)chmod +x ./*.py方法(2)chmod 755 ./*.py (777也无所谓啦)这个命令不去调整,会出现permission denied的错误终端直接执行。如果在脚本内容的开头已经给出了类似于如下的注释:#!/usr/bin/env python(或者是 #!/usr/bin/python)那就可以直接在终端里运行:

2014-03-21 14:24:44 15308

原创 嵌入式系统C语言编程小心使用局部变量

问题:今天同事在写一个STM32上的程序时,总是遇到内存溢出的错误。结果发现是因为使用了一个局部变量导致的。因为C语言的局部变量被编译器自动放到栈区的空间(全局变量需要手动申请并释放空间)。嵌入式系统的栈区本来就很小,而且要放进去的变量是一个结构体类型,非常庞大(大数组也会导致相同错误)。所以直接栈区溢出了(或是地址重叠错误)。而且这样的错误在编译的过程中不会有任何错误,只有跑起来才出现…

2014-03-19 19:00:19 3222 2

原创 工程文件的压缩包备份及共享

今天头儿让我在另外一台机器上从头Demo一个工程,从编译开始,下载,调试全部run一遍。结果莫名奇妙copy到另外一台电脑上后出现了貌似引脚的错误(“can't...pin..... ”)。我那个一头汗啊!结果头儿说,你把工程文件打个压缩包再copy过来。神奇得就OK了。。。。。头说打压缩包的时候自己会检查一些东西。养成良好习惯:备份以及移动,全部以压缩包形式进行。

2014-03-11 14:57:11 941

原创 Quartus Internal Error subsystem /..../...../amerge amerge_merger_op.cpp line:911

公司用Quartus 10.0。编译单个模块的vhdl或者verilog没有错误。偏偏在第一部Analysis & Synthesis的最后一点(大概97%)处,弹出一个错误对话框,大致内容是:Quartus Internal Error subsystem /..../...../amerge amerge_merger_op.cpp line:911搜罗了一下网上的解决方法

2014-03-06 10:12:49 3560 2

原创 VIM操作多行缩进

网上各种方法都是v 进入visual 模式,用> 大牛总是喜欢省略细节……以下是详细操作方法1. 光标先移动到需要开始选中的地方2. SHIFT + V 这时候已经选中当前行了3. 按V的手指松开,SHIFT键不放开,按上下键选中4. 接下来就能缩进了当然也可以复制剪切等等。Happy Hunting!

2014-02-21 11:13:39 1186

原创 NIOS II ecliplse中出现Symbol 'XX_BASE' COULD NOT BE RESOLVED解决

在nios II开发中,加入了一个PIO以后,也重新generate了,quartus也重新编译了,也重新生成BSP了,最后明明system.h中已经能找到#define xx_base 0x94ff,可是在.c文件中一直找不到,用IORD或IOWR总是报Symbol 'XX_BASE' COULD NOT BE RESOLVED错误调。有时候,这个错误过一会儿自己就消失了。但是今

2014-02-21 09:10:08 5436 4

转载 IOWR_ALTERA_AVALON_PIO_DATA函数用法

在Nios II中选中类如IOWR_ALTERA_AVALON_PIO_DATA的函数--->Open Definition--->会看到#define IOWR_ALTERA_AVALON_PIO_DATA(base, data)       IOWR(base, 0, data)(偏移量可以没有)在这里,我们看到我们想找到的函数实际是 IOWR;同时,其他诸如此类函数有:#de

2014-02-19 16:34:01 2523

转载 Quartus II 中常见Warning 原因及解决方法

1.Found clock-sensitive change during active clock edge at time on register ""原因:vector source file中时钟敏感信号(如:数据,允许端,清零,同步加载等)在时钟的边缘同时变化。而时钟敏感信号是不能在时钟边沿变化的。其后果为导致结果不正确。措施:编辑vector source file2.V

2014-02-19 16:13:42 16662 2

原创 Quartus:instantiates undefined entity错误

Quartus工程中新加入的模块需要将相应的可综合文件add到工程下才能综合。例如工程中加入一个Nios模块后,需要将工程目录下 :NIOS文件夹(如helloworld或者led)/synthesis/下的.qip文件add进去后,综合方可通过。

2014-02-19 14:29:58 14208

原创 解决region onchip_memory is full/overlaps previous sections问题

做一个简单的nios实验,板子上不带SDRAM,所以只能用onchip memory不想弄得太大,于是配置的时候配得太QQ了16bit/word x 128word。后来在Eclipse Build project死活通不过,报错大致如下(我的没了,黏一段别人的报错信息,一样)……region onchip_mem is full…………section .rwdata [0

2014-02-13 14:47:21 2801 1

原创 Fixing nios problem "System ID mismatch System timestamp mismatch"

一开始在nios flash programmer里面出现:Connected system ID hash not found on target at expected base address其实就是jtag没连好,板子多的时候容易连到其他的板子上。但是网上有其他的人遇到不同的问题,可以参考一下。http://blog.sina.com.cn/s/blog_4dbde8ed0100v

2014-01-24 16:29:38 13195 1

原创 FPGA下载前一定记住要将未分配引脚置tri-state:未雨绸缪

FPGA下载前一定记住要将未分配引脚置tri-state:未雨绸缪以前听老师在课上提过,后来Tutor在lab上即使连最简单的project也要将未分配引脚置tri-state。作为一个初出茅庐的小菜,我并不知道可能造成的危害和不确定性有多大。不过这两天在做一个project中,需要led提示。一开始led焊反了,怎么都点不亮(地线接在了FPGA一头:万用表调到二极管,黑色在led的FPG

2014-01-22 16:28:49 3527

原创 关于ModelSim从quartus自动启动仿真

实验环境是Quartus 13.0。其他的版本应该差不多。1. 在建立工程的时候就有要设置要采用的工具软件。这一步如果当时没做,可以在assignment ==> settings ==> EDA tools settings在simulation处选择modelsim altera。2. tools ==> opetions ==> general ==> EDA

2014-01-21 21:01:13 2194

原创 Can't place all RAM cells in design

在熟悉新环境的qsys,建完nios cpu后,在quartus下编译时报错:Error (170040): Can't place all RAM cells in designInfo (170034): Selected device has 30 memory locations of type M9K. The current design requires 50 mem

2014-01-20 22:46:32 10824 1

原创 关于quartus软件安装的一些方法和路径问题总结

本文对quartus软件安装时的一些方法和路径问题总结(友情提示:可能需要关掉360等防火墙,否则安装还没开始就会弹出错误)1. 破解1). 将cracher.exe copy 到 ..../Altera/quartus/bin(或者bin64)文件夹下。2). run the just copied cracker. 直接点应用就可以破解了。产生的licence.dat文

2014-01-18 14:37:51 11220

原创 About Quartus BDF component connection

Quartus 13.01. 两个pin之间的连接线有node和bus之分。之前用quartus10只要名字相同,比如写成addr[4..0]。现在必须从上方的工具栏中选取bus tool连接,否则会报错 bus line……如何如何2. 两个pin连接,必须看到“圆点”才算真正连接上,否则报错:incorrect connector styleTo b

2014-01-16 11:37:00 1316

Ada_Programming

ada 这一编程语言被广泛运用到很多关键行业,例如军事,航空等。国内的资料非常少,好不容易弄到这本书,希望和大家分享!

2012-03-17

Unix网络编程实用技术与实例分析

包括第一部分少量基本的网络知识,套接字编程,以及大量的UNIX编程实例技术,后面还有一些高级技术。

2012-03-17

JAVA多线程断点续传下载程序

这是我java的课程设计。本程序实现类似迅雷的多线程断点续传下载功能,并且有简单的界面,可以显示下载中各个线程的下载状况。 用Eclipse编译一下就能运行了。希望和大家共同学习!

2010-07-28

ParkingLot

这个是我大学的第一个课程设计,现在快毕业了,发现当年那个菜啊!但也是花了一番心血的,资源分1分意思一下。 希望共同提高!

2010-07-27

操作系统课程设计_生产者消费者演示程序

老师提高了一般生产者消费者的要求——要求有两个“仓库”作中间的搬运者。运用java多线程,和信号量的机制实现程序。希望和大家共同学习!!

2010-07-05

编译原理课程设计_pl0扩展

仿照Pascal语言,对pl0编译程序(清华大学书后源码)进行以下简单扩展—— 不等号: 指针: & @ if - else for ++ -- += -= 数组 程序还有多不足,希望和大家共同学习!!

2010-07-05

java学生信息管理系统

实现简单的录入查询,修改,删除,功能。不涉及数据库,只是用到输入输出流。

2009-10-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除