自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 资源 (1)
  • 收藏
  • 关注

原创 示波器探头的使用

示波器探头

2024-03-09 10:30:29 283

原创 ucosii任务切换及任务同步

四、 中断:包括systick时钟中断。如果是1ms的话,在没有其他中断,系统会每隔1ms检查是否需要切换任务。三、 就绪:Suspend条件满足(消息到来、等待超时),延时时间完毕后(由等待进入就绪)二、 等待:调用Pend或延时函数后,释放CUP使用权。一、 运行态:占用CPU。

2023-09-08 15:56:01 330

原创 STM32_pwm设置

【代码】STM32_pwm设置。

2023-08-08 15:19:02 68

原创 STM32外部中断

BSP_IntVectSet(BSP_INT_ID_EXTI15_10(中断IO对应通道),中断处理函数);//使能对应的中断通道。3.配置中断控制器NVIC (EXTI15_10_IRQn,EXTI4_IRQn)4.写中断服务子程序 (EXTI15_10_IRQHandler)注意在usos中不需要配置NVIC,一般只需要用ucos中的两个函数代替。首先要明白中断IO对应的中断线EXTIx。1.使能IO时钟和AFIO时钟。

2023-08-08 09:58:47 526

原创 C语言指针

C指针

2023-03-12 11:50:32 108

原创 关于全局变量的定义

在头文件中定义全局变量

2023-01-05 15:35:38 6294

原创 电容的设计要点

电容的介绍,沈阳疫情居家,看完郭天祥老师的PN学堂关于电容的介绍后,感觉不够详尽,编写此文,及电容分类的思维导图,供小伙伴们设计参考,有误的地方请指正。愿疫情早日结束。

2021-12-05 11:10:28 2646

原创 电阻的设计要点

1. 封装贴片SMD: 0402、0603、0805插件DIP:2. 精度国标E-24 5%国标E-96 1%4. 阻值贴片 3位为5%:103=10k4位为1%:1002=10k插件 色环功率常见的 1/4W 碳膜 色环电阻,直径2.5mm,长度6.4mm1/4W金属膜色环电阻,直径2.8mm,长度8.0mm 英制mil 公制mm 功率 0402 1005 1/16W 0603 1608 1/10W 0805 2012

2021-12-03 05:47:29 378

原创 世界公认三大学习法

费曼学习法假设你是老师,给同学讲出来,卡壳了就回顾和思考直到能用浅显易懂的语言完全讲出这个知识点康奈尔笔记1.笔记栏:平时习惯记录2.线索栏:归纳1的重点内容3.总结栏:记录这页内容进一步思考的内容思维导图学习法关键词构成网络...

2021-06-06 10:33:39 361

原创 高压电路的测量

2021-06-06 08:40:54 331

原创 Ubuntu18.04的下载及虚拟机安装

Ubuntu18.04的下载及虚拟机安装Ubuntu18.04下载官网下载地址(非常慢):http://releases.ubuntu.com/要下载:LTS (长期有效版)、desktop(桌面版)、amd64(64位)国内网易镜像网址(推荐使用**!!!**):http://mirrors.163.com/下载VMware Workstation Player 16(免费)下载地址:https://my.vmware.com/cn/web/vmware/downloads/info/

2021-04-22 21:40:58 2079

原创 Ubuntu基础命令

Ubuntu基础命令绝对路径与相对路径:以跟文件开始的文件路径称为绝对路径(“/”开头,如/home)相对路径:“.” 表示当前,如果文件权限是可执行的话"./"遍可执行这个文件。“ . . ” 表示上一级路径“. . /. .” 表示上两级路径命令格式:pwd 打印当前路径命令。cd 改变路径cd - // 进入上次目录, 比如先进入 a 目录再进入 b 目录,执行此命令后即回到 a 目录cd ~ // 进入家目录,进入root目录mkdir 创建目

2021-02-14 09:00:19 212

原创 python_opencv

RK3288(Ubuntu16.04)安装opencv-python一、在python2.7.12下安装opencv-python 2.4.91(比较容易)sudo apt-get -y updatesudo apt-get -y install python-opencv查看是否安装成功,shell进入python2import cv2print cv2.getBuildInformation()更改rk3288的IP后,执行如下代码调用网络摄像头,效果太差。import cv2

2021-01-30 23:39:26 170 1

原创 串口控制PWM(频率、占空比可调)

top模块`timescale 1ns / 1psmodule top( input sys_clk, input rst_n, input rx, output tx, output reg led, output pwm ); wire BaundClk_x16; wire [7:0] DataCon; wire TxFlag; wire [15:0] period_data; wire [15:0] h_time_data; wire pwm_en;

2020-08-07 17:30:21 4641 1

原创 三级管、MOS管

三级管SOT23(1:B、 2:E、 3:C)TO92 (1:E、 2:B 、3:C)用万用表判断三级管的好坏见下图:三级管作为开关管时要注意:Ib和VceMOS管

2020-07-11 16:23:00 927

原创 pycharm应用

一、Pycharm 安装包File / Setting 点击下图中的 "+”查找所需要的库单击“install package”二、Pycharm 生成 EXE 可执行文件view / tool windows / terminal在终端中输入:pyinstaller -F -w ******.py“ ****** ”为要生成EXE文件的Python文件-F(注意大写)是所有库文件及引用文件打包成一个可执行文件-w是禁止弹出黑色终端窗口...

2020-07-11 15:26:06 264

原创 tkinter编写的串口助手——带CRC校验功能,可以读取松下侍服驱动器的线圈信息!!!

功能函数部分代码import threadingimport tkinter as tkimport serialimport serial.tools.list_portsfrom tkinter import ttkfrom tkinter import scrolledtextfrom tkinter import ENDimport tkinter.messageboximport binasciiimport timeSerialPort = serial.Serial(.

2020-07-11 14:11:57 818

原创 UART

串口实验注意:为确保连续发送的准确率,可将系统时钟倍频到50Hz1)顶层实验模块module uart_top( input sys_clk, //外部50M时钟 input sys_rst_n, //外部复位信号,低有效 //uart接口 input uart_rxd...

2020-02-10 11:47:47 286

原创 STM32F4 外部时钟更改

系统默认配置为:PLL_VCO = HSE_VALUE/PLL_MPLL_N = 25_000_000/25336 = 336MSYSCLK = PLL_VCO/PLL_P = 336_000_000/2 = 168M更改后配置为:PLL_VCO = HSE_VALUE/PLL_MPLL_N = 18432000/18432336000 = 336MSYSCLK ...

2019-12-21 11:27:01 958 1

原创 ISE Design Suite 14.7创建一个Xilinx工程

File \ New Project,弹出如下界面按下图介绍更改后点击“next” => “finish”

2019-11-23 20:56:38 1422

原创 Verilog基础

1)逻辑值逻辑0:gnd逻辑1:VCC逻辑X:1/0逻辑Z:高阻态2)数据表示二进制:4’b0101(四位二进制数5 ,二进制表示0101,《8421》)十进制:4’d9 (四位十进制数9 ,二进制表示1001,《8421》)十六进制:4’hf (四位十六进制数15 ,二进制表示1111,《8421》)Quartus无位数及格式时,默认为32位十进制例如:16’b1001_10...

2019-11-23 11:50:47 953

原创 三种恒流源

加法器:(Vi1 — V+)/R8=(V+ — Vi2)/R6V+ = R7/(R7+R5) * Vout因为R5=R6=R7=R8=1K所以:Vi1—1/2Vout = 1/2Vout — Vi2所以:Vout = Vi1 + Vi2

2019-11-23 09:15:00 1552

原创 IGBT的测量方法

2019-11-23 09:08:17 1063

原创 数码管动态显示从0显示到999999,每隔0.1s增加1个数

顶层模块代码module top_seg_led( input sys_clk , input sys_rst_n, output [5:0] seg_sel , output [7:0] seg_led ); wire [19:0] data; //数码管显示的数值 wire [ 5:0] point; //数码管小数点的位置 wire en; ...

2019-10-06 15:27:47 3855

原创 数码管静态显示

顶层模块代码`timescale 1ns / 1psmodule segdisplay_top( input sys_clk, input sys_rst_n, output [5:0] sel, output [7:0] seg_led);parameter TIME_SHOW = 25'd2500_0000;wire add_flag; time_c...

2019-09-30 16:35:55 274

原创 按键边缘检测,控制LED亮灭

模块代码`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 13:40:18 09/25/2019 // Design Name: // ...

2019-09-29 14:49:59 227

原创 AR101触摸按键电路

2019-09-25 21:08:16 2296

原创 绝对值电路

正电电压仿真图负电压仿真图

2019-09-24 13:46:56 5865 1

原创 按键消抖

顶层模块module top( input clk, input rst_n, input key, output beep );wire key_vlaue;wire key_flag;parameter Delay_time=20'd400_000;//20Mhz晶振,一个时钟周期50nm,延时20ms需要400_000个...

2019-09-20 16:43:43 180

原创 拨码开关控制LED

程序代码module top( input clk, //20Mhz时钟 input rst_n, input [3:0] key, output reg [4:0] led );//reg definereg [ 3:0] led_ctrl;reg [23:0] cnt;//parameter defineparamete...

2019-09-14 14:49:50 747

原创 Quartus II创建一个altera工程

开发流程1、打开软件2、新建工程:选择芯片型号、选择存储路径3、设计输入:verilog4、配置工程 5、分析与综合:检查verilog语法错误6、分配引脚7、编译工程:生成一个sof文件,掉电消失8、下载程序...

2019-08-29 22:19:31 480

原创 Verilog基础四——状态机

1、如何写一个状态机(四段论)状态空间定义状态跳转下个状态判断各个状态下的动作2、状态机的结构组合逻辑:产生下一状态的组合逻辑时序逻辑:状态寄存器组合逻辑:产生输出组合逻辑(时序逻辑:有效的滤除逻辑输出的毛刺&使总线输出信号对其减小偏移)3、独热码4、注意if、else要配对使用使用case时,当情况不完全时,要加defalt否则产生锁存器。...

2019-08-29 21:54:23 210

原创 Verilog基础三——语句

一结构语句1)initial在模块里只执行一次,常用来编写测试文件initial begin... ...#20 touch_key <= 1'b1 // 延时20nm后,touch_key拉高2)always一直在不断的重复活动always #10 sys_clk<=~sys_clk //产生20nm(50mhz)的时钟信号敏感列表过程块语句...

2019-08-26 22:32:56 399

原创 Verilog基础二

1)注释单行注释://多行注释:/* */2)常用关键字module / endmoduleinputoutputinout 双向端口定义parameterwireregalways 产生reg信号(有时钟时是reg,无时钟时是wire)assign 产生wire信号begin / end 语句的起始和结束edge / posedge / nege...

2019-08-20 17:28:14 203

原创 Verilog基础一

1)逻辑0:gnd逻辑1:VCC逻辑X:1/0逻辑Z:高阻态2)二进制:4’b0101(四位二进制数5 ,二进制表示0101,《8421》)十进制:4’d9 (四位十进制数9 ,二进制表示1001,《8421》)十六进制:4’hf (四位十六进制数15 ,二进制表示1111,《8421》)Quartus无位数及格式时,默认为32位十进制16’...

2019-08-19 22:00:44 453

原创 flow_led

module flow_led( input sys_clk, input sys_rst_n, output reg[3:0] led ); reg[25:0] counter;always @(posedge sys_clk or negedge sys_rst_n) begin if(!sys_rst_n) counter <= 26'...

2019-08-17 09:39:17 293

C程序数据结构专用笔记

C程序数据结构专用笔记

2023-06-20

TMS320F280049C工程模板

可移植 兼容寄存器和库函数开发 debug模式(ram)release模式(flash)可切换

2023-04-20

电池方案,用于小AH,高倍率

电池方案,用于小AH,高倍率

2023-03-12

电容分类思维导图,电容设计要点资料

电容分类思维导图,电容设计要点资料

2023-03-12

Design1 - 副本.ms12

典型绝对值电路!

2019-09-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除