自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(23)
  • 资源 (4)
  • 收藏
  • 关注

原创 freertos stack

/* * size : 0x02 */void vTaskTest(){}/* * size : 0x0a */void vTaskTest(){ while(1) { vTaskDelay(1 / portTICK_RATE_MS); }}/* * size : 0x0c */void vTaskTest(){ w

2014-10-15 10:00:17 246

转载 matlab图像处理基本操作

一、读取图像f = imread('test.jpg') ;得到图像大小size(f) ;二、显示图像imshow(f)三、保存图像imwrite(f,'filename')

2014-02-15 15:38:57 197

原创 常用变换性质表格

傅里叶变换对及其性质拉普拉斯变换对拉普拉斯性质

2012-03-10 21:51:07 392

原创 利用residuez计算Z反变换

residuezz-transform partial-fraction expansionSyntax[r,p,k] = residuez(b,a)[b,a] = residuez(r,p,k) R为留数,p为极点,C为直接项则有下面有理函数首先重新整理以z^(-1)升幂的形式matlab计算>> b=[0,1]

2012-03-10 21:43:12 1785

原创 matlab绘制连续时间信号频谱图

利用fourier函数求频谱图步骤:一、写出信号表达式二、求表达式的傅里叶逆变换三、求出幅度谱四、求出相位谱ft=sym('(t+4)/2*heaviside(t+4)-t*heaviside(t)+(t-4)/2*heaviside(t-4)');Fw=simplify(fourier(ft));subplot(211);ezplot(abs(Fw),[

2012-02-05 18:50:04 8353

原创 Z变换——幅度响应、相位响应、脉冲响应

已知一因果系统 y(n)=0.9y(n-1)+x(n)a。求H(z)并大致画出他的零极点图b。画出幅度响应和相位响应c。求脉冲响应 差分方程为y(n)-0.9y(n-1)x(n),因为系统是因果的H(z)=1/(1-0.9z^(-1))

2011-08-22 20:28:23 13380

原创 matlab完成任意位置序列卷积

matlab内部函数conv(函数假定两个序列都从n=0开始,卷积后的数列长度为m+n-1)用于计算两个有限长序列之间的卷积。如果序列都有任意位置的话,conv函数既不提供也不接受任何信息。 编写函数conv_m,它可以完成任意位置序列的卷积function [y,ny]=conv_m(x,nx,h,nh)%x,h 序列值%nx,nh 序列横轴nyb=nx(1)+nh(1);

2011-06-02 19:33:00 2015

原创 M文件if-else-end结构

<br />和C语言基本相似<br /> <br />文件功能,对任意输入的x求相应的y值<br /> <br />function y=exm060101(x)n=length(x);for k=1:n if x(k)<-1 y(k)=x(k); elseif x(k)>=1 y(k)=exp(1-x(k)); else y(k)=x(k)^3; endend<br />结果<br />>> x=

2011-05-24 20:19:00 444

原创 matlab绘制系统函数波特图

已知系统函数:H(s)=30/(s^2+31s+30),先画出幅频特性和相频特性w=-8*pi:0.01:8*pi;b=[30];a=[1,31,30];H=freqs(b,a,w);subplot(211)plot(w,abs(H));grid onxlabel('/omega(rad/s)'),ylabel('|H(/omega)|');title('H(s)的幅频特性')subplot(212)plot(w,angle(H));grid onxlabel('/o

2011-04-12 20:08:00 11682 5

原创 VHDL——数字秒表,定时器

<br />数字秒表,因为仿真的问题,现在只有毫秒和秒。如果分和小时都弄上,仿真要出效果得走半天,所以就删掉了<br />现在只能按下复位键后计时,以后慢慢添加更多功能,包括保存,多次计时等<br /> <br /> <br />--毫秒功能library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity time_ms is port( clk ,reset :in std_

2011-03-22 21:36:00 4563 4

原创 VHDL——简单同步FIFO

<br />功能:存入数据按顺序排放,存储器全满时给出信号并拒绝继续存入,全空时也给出信号并拒绝读出;读出时按先进先出原则;存储数据一旦读出就从存储器中消失<br /> <br />library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_signed.all;entity fifo is port( datain :in std_logic_ve

2011-03-17 23:21:00 1501 1

原创 VHDL——如何写简单的testbench

弄了好长时间vhdl,一直对testbench很迷惑。前几天静下心来好好看了下资料,终于会写简单的testbench了。六进制计数器的代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;--use ieee.std_logic_unsigned.all;entity cnt6 is port (clr,en,clk :in std_logic; q :out std_logi

2011-03-13 20:46:00 13836 10

原创 VHDL——摩尔型状态机

看公司的vhdl代码大部分都是用状态机来完成的,看的很有条理,一个状态一个状态的来,工作过程看的一清二楚我写的很简单,也很丑陋,慢慢进步了library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity test is port( sw :in std_logic_vector(3 downto 0); clk,reset :in std_logic; led

2011-02-28 21:02:00 1531

原创 VHDL——子程序调用,实现数据交换

前面写过一个74ls165并转串的程序,但实际用了2块165级联成16位的并转串,为了布线是的方便,就把并行输入的数据打乱了,现在要调整回去,可以借鉴下面的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity swap is port ( data :in std_logic_vector(7 downto 0); q :out std_logic_vec

2011-01-05 19:34:00 1579

原创 VHDL——并转串程序(74LS165)

http://b57.photo.store.qq.com/http_imgload.cgi?/rurl4_b=a4f672579e9872ef3f2d39c840e6a6b401c2d2dc2c8be5bbfc517cef5bab8a12ed18133429ce3bc3cf32e09746121a3f542ca085e470d4ba0d0fde6185f1d114d54d4c74bcc93bf62c65f8deea8f1c3e992ef8aa&a=66&b=57本来以为很好写,但写的时候发现有点问题。sl

2010-12-30 22:20:00 2215

原创 VHDL学习——10位2进制全加器

采用行为描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder is port( a,b :in std_Logic_vector(9 downto 0); co :in std_logic_vector(9 downto 0); c1 :out std_logic; sum :out std_logic_vector(10 d

2010-12-26 13:01:00 1009

原创 vhdl学习——最简单分频器

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity divide is port( clk :in std_logic; en :in std_logic; clk_out :out std_logic );end entity;architecture rtl of divide issignal tmp :std_lo

2010-12-23 21:07:00 1045

原创 可执行程序的内存分布总结

<br /> <br />原来一直对于可执行程序的内存分布很迷惑,最近查了好多资料以及请教了诸多高手,方有一些领悟,现将自己领悟的写下来,以供大家批评指正。<br /> <br />在操作系统中,一个进程就是处于执行期的程序(当然包括系统资源),实际上正在执行的程序代码的活标本。那么进程的逻辑地址空间是如何划分的呢?<br />    图1做了简单的说明(Linux系统下的):<br />            <br /><br />图1<br />左边的是UNIX/LINUX系统

2010-07-25 21:08:00 234

转载 getchar()和EOF总结(zz)

大师级经典的著作,要字斟句酌的去读,去理解。以前在看K&R的The C Programming Language(SecondEdition)第1.5节的字符输入/输出,被getchar()和EOF所迷惑了。可能主要还是由于没有搞清楚getchar()的工作原理和EOF的用法。因此,感觉很有必要总结一下,不然,很多琐碎的知识点长时间过后就会淡忘的,只有写下来才是最好的方法。其实,g

2010-01-17 23:05:00 198

原创 C程序结构和堆栈

<br />C语言程序结构(存储时)<br />1、代码区(text segment)。存放CPU执行的机器指令(machine instructions)。<br />通常代码区是可共享的(即另外的可执行程序可以调用它),因为对于频繁被执行的程序,只需要在内存中有一份代码即可。代码去通常只是可读的,另外,代码区还规划了局部变量的相关信息<br />2、全局初始化数据区/静态数据区(initialized data segment/data segment)。该区包含了在程序中明确被初始化的全局

2009-10-25 01:09:00 236

原创 文件流读写

一、字符读写文件流字符读操作extern int fgetc(FILE *stream);//从流中读取一个字符extern int getc(FILE *stream);extern int getchar(void);//从标准输入设备,度一个字符    若调用成功返回读到的内容,如果失败则返回EOF(-1)getc()这个宏不是建立在fgetc的基础上的,所以getc

2009-10-11 19:45:00 1449

转载 c语言中命令行参数argc,argv

c语言中命令行参数argc,argv关键词: argc,argv                                           main(int argc,char **argv)argv为指针的指针argc为整数char **argv or: char *argv[] or: char argv[][]main()括号内是固定的写法。下面给出

2009-10-11 15:50:00 632

原创 fopen函数以及相关

fopen函数,函数用来打开一个文件int fopen(const char *pathname,int flags);int fopen(const char *pathname,int flags,mode_t mode);说明:flags用于描述文件打开方式的参数fopen 函数用来打开一个文件,其调用的一般形式为:          文件指针名=fopen(文件名,

2009-10-11 14:23:00 792

linux单片机C语言开发环境教程

windows下单片机开发环境很号你弄 linux下单片机C语言开发环境的搭建就够费你一番功夫的了 本文是详细指导

2009-11-14

Vim用户手册中文版72

vim中文帮助教程 新手入门,高手进阶 linux下请用adobe打开 不然显示有错误

2009-11-02

makefile中文完全教程

makefile中文完全教程,需要的赶快下了

2009-10-31

C程序设计经典教程(第四版)课后练习答案

C程序设计经典教程(第四版)课后练习答案,pdf格式,全部练习都有

2009-10-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除