自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

转载 matlab矩阵的表示和简单操作

转载地址:http://www.cnblogs.com/Ran_Ran/archive/2010/12/11/1903070.html  一、矩阵的表示在MATLAB中创建矩阵有以下规则:a、矩阵元素必须在”[ ]”内;b、矩阵的同行元素之间用空格(或”,”)隔开;c、矩阵的行与行之间用”;”(或回车符)隔开;d、矩阵的元素可以是数值、变量、表达式或

2013-04-11 17:00:14 773

原创 matlab实现循环卷积

这是我用matlab 做的第一个有实际意义的程序。首先这个循环卷积的理论基础:x=[x(0),x(1),.....x(n)]为输入序列,首先生成矩阵X=[x(0)x(n),x(n-1).............,x(2),x(1);

2013-04-11 16:58:44 12905

原创 错误(Undefined function 'produce' for input arguments of type 'double'.)

我是一个matlab新手,在编程的时候遇到这样一个如题的问题,结果上网搜了好久,才找到错误原来在于,没有将M文件添加到current  folder里解决方法: 在file->set path->add floder在这里选择你M文件所在的目录。这就OK了。PS:我最近还发现导致这样错误的另一个原因就是定义的函数和文件名不一致的时候也会出现这样的名字。所以 应该就将文件名改为produce

2013-04-11 16:17:57 2912

原创 FPGA让数码管亮起来

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--use ieee.std_logic_1164.all;entity led is port( clk:in std_logic; seg:out std_l

2013-04-07 21:48:01 1225

转载 VHDL数据类型的转换

在VHDL程序中,不同类型的对象不能代入,因此要进行类型转换.类型转换的方法有:(1)类型标记法.用类型名称来实现关系密切的标量类型之间的转换.例如: VARIABLE x:INTEGER;VARIABLE y:REAL;使用类型标记(即类型名)实现类型转换时,可采用赋值语句:x :=INTEGER(y); y :=REAL(x).(2)类型函数法.VHDL程序包中

2013-04-06 23:23:28 1969

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除