自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (9)
  • 问答 (2)
  • 收藏
  • 关注

原创 FFT核Modelsim仿真

一.FFT核简介 FFT-V2.0.0是Altera公司2004年2月新发布的FFT知识产权核,它是一个高性能、高度参数化的快速傅里叶变换(FFT)处理器,支持Cyclone、Stratix II、Stratix GX、Stratix系列FPGA器件。该FFT Core功能是执行高性能的正向复数FFT或反向的FFT(IFFT),采用基2/4频域抽取(DIF)的FFT算法,其转换长度为2m,这里 6

2016-06-20 16:03:11 12292 28

原创 iir调试记录

1.目的 实现采样率fs=50MHz,通带为5MHz~15MHz,阻带衰减60dB的IIR带通滤波器 2.方案 采取直接型 3.详细设计 (1)确定滤波器的系数,系数和滤波器输出量化位宽 先根据要求的fs,fc1,fc2以及阻带衰减确定系数,当初如果设置截止频率f1=5MHz,f2 = 15MHz,实际的截止频率差很多,如图1。因此修改为f1 = 2.6MHz和f2 = 19

2016-04-15 21:34:18 4996

原创 FIR滤波器的FPGA实现

1.FIR滤波器简介 FIR(Finite Impulse Response)滤波器:有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。 2.并行FIR滤波器 根据传递函数H(Z)和FIR

2015-09-06 15:52:53 17014 4

原创 常用Matlab函数和Modelsim任务

一.Matlab函数1.1.1 函数名fscanf1.1.2函数功能从文件中读取数据(从fileID指定的文件中,以format格式读取sizeA个数据,count为成功读取的数据个数)1.1.3函数原型[A, count] = fscanf(fileID, format, sizeA)1.1.4 返回值A:读取的数据Count:为成功读取的数据个数1.1.

2015-09-02 16:48:38 1342

原创 循环型的除法器(实现两个8位整数的除法)

循环型的除法器,如果用笔者的话来说,就是位操作的除法器。循环型的除法器是典型的硬件除法器,假设除数和被除数的位宽为N 位,那么除法器就需要循环N 次完成除法操作,结果取得“N 位商和N 位余”。假设被除数A =10,除数B = 3,为了使除数B大于除数A,让B‘  = B*2^m, 假设m  = 6,R为余数,Q为商。循环结束,R = 64*2 =128 ,正确的R '= R/2^

2015-08-04 12:34:17 2071

原创 UART(串口发送模块)

1、整体框图文件:UART.vmodule UART(CLK,nRST,Rx_Pin_IN,Rx_En_Sig,Rx_Done_Sig,Rx_Data);input CLK;input nRST;input Rx_Pin_IN;input Rx_En_Sig;output Rx_Done_Sig;output [7:0]Rx_Data

2015-08-04 12:31:22 1043

原创 多谐震荡电路

一 方案论证方案1该图由多谐振荡器A、多谐振荡器B和发光二极管VL1~VL12组成电路中,多谐振荡器A由电阻R5、R6、电位器RP1、电容器C1、C2和晶体管V1、V2组成;多谐振荡器B由电阻R7、R8、电位器RP2、电容器C3、C4和晶体管V3、V4组成;多谐振荡器A振荡工作后,通过R1、R2驱动VL1~VL6闪烁发光。调节电位器RP1和RP2的阻值,可分别改变多

2015-08-03 12:36:17 3912

原创 UART(接收部分)

1、整体框图文件:UART.vmodule UART(CLK,nRST,Rx_Pin_IN,Rx_En_Sig,Rx_Done_Sig,Rx_Data);input CLK;input nRST;input Rx_Pin_IN;input Rx_En_Sig;output Rx_Done_Sig;output [7:0]Rx_Data

2015-07-30 12:22:51 1062

原创 PS2操作

1.整体框架2.子模块(1)PS2_Module文件:PS2_Module.vmodule PS2_Module(CLK,nRST,PS2_CLK_Pin_IN,PS2_Data_Pin_IN,PS2_Data,PS2_Done_Sig);input CLK;input nRST;input PS2_CLK_Pin_IN;input PS2_Data_Pin

2015-07-29 13:05:43 564

原创 多功能数字时钟

1.功能介绍该时钟具有时、分、秒计时的电子钟电路,24小时制计时。能够准确计时,以数字的形式显示时、分、秒;具有分、时校正功能,校正输出脉冲频率为1HZ;具有仿广播电台整点报时功能,即每逢59分51秒,53秒,57秒时,发出四声500HZ低音,在59分59秒时,发出1声1kHZ高音,他们的持续时间均为1秒;具有定时闹钟功能,且最长闹钟时间为1分钟。2.模块介绍图 1

2015-07-27 14:11:35 5057 2

原创 用FPGA驱动DA芯片TLV5618

1.TLV5618简介TLV5618这款芯片是TI(美国德州仪器)公司生产的 双路12位电压输出 数/模 转换器。该TLV5618是一个双通道12位电压输出DAC具有灵活的3线串行接口。串行接口与TMS320 , SPI兼容,QSPI ™和MICROWIRE ™串行端口。这是用含有一个16位串行串编4控制和12个数据位。电阻串的输出电压是由一个缓冲2倍增益的轨到轨输出缓冲。缓冲区拥有AB类输出

2015-07-20 14:01:41 8069 1

原创 采用booth算法实现两个8bit实数的乘法运算

/******************************************************************************************************* 作者:lidong 时间:2015.7.18 邮箱:[email protected] 功能:采用booth算法实现两个8bit实数的乘法运算 **************

2015-07-18 18:31:38 4284

原创 Verilog实现m序列发生器

1.m序列简介m序列是目前广泛应用的一种伪随机序列,其在通信领域有着广泛的应用,如扩频通信,卫星通信的码分多址,数字数据中的加密、加扰、同步、误码率测量等领域。m序列在所有的伪随机序列里面的地位是最基础同样也是最重要的。它的特点就是产生方便快捷,有很强的规律特性,同时自相关性、互相关特性也很好。在IS-95的反向信道中,选择了m序列的PN码作为地址码,利用不同相位m序列几乎正交的特性来为每

2015-07-18 13:09:53 31672 6

PCIe高速接口,包含中控公司的中文培训PPT和PCIe3.0 PIPE的协议

PCI-Express(peripheral component interconnect express)是一种高速串行计算机扩展总线标准,它原来的名称为“3GIO”,是由英特尔在2001年提出的,旨在替代旧的PCI,PCI-X和AGP总线标准。其中PCIe物理层接口(Physical Interface for PCI Express,PIPE)定义了物理层中的,媒介层(Media Access Layer,MAC)和物理编码子层(Physical Coding Sub-layer,PCS)之间的统一接口,旨在为提供一种统一的行业标准。

2022-04-05

无线通信,802.11ax协议,用于wifi6设计,压缩包包含11ax两个临时版本和最终版本

802.11ax,又称Wi-Fi 6,是IEEE 802.11推出的工作标准,是继802.11n之后第二个能够同时工作在2.4GHz与5GHz频段下的WiFi标准。其平均吞吐量能够比Wi-Fi 5(即802.11ac)提高至少4倍,并发用户数提升3倍以上,其速度能够达到600Mbit/s,是5G移动通信标准的有力竞争者。

2022-04-05

无线通信,802.11b/g/n/ac协议

包含IEEE802.11-2012版和2016版的协议,涉及11b/g/n/ac

2022-03-29

Xilinx SDK常用API集合

该文档详细介绍了Xilinx SDK中常用的API函数,包括GPIO,Timer,GIC等。介绍了每个API函数的功能,用法,所在头文件,参数的含义以及返回值情况。还提供实例,对于初学Xilinx SDK有很大的帮助

2017-04-12

FFT核Modelsim仿真

介绍了Quartus II的FFT ip核的设置以及使用,采用Modelsim仿真,完全傻瓜式的教程,一看就会

2015-11-13

串行通信(UART,SPI,II2)

包含II2,SPI,UART通信的详细设计方案以及具体实现的430(G2553)代码

2015-09-24

FIFO的Verilog实现

同步和异步FIFO的Verilog实现,Modelsim仿真,其中有FPGA具体实现的文档

2015-09-24

ip核Modelsim的仿真

用Modelsim对一些常用的ip核进行仿真,如pll,rom,lvds。步骤详细,每一步都有图片说明,注意事项明确,对仿真结果也有分析。

2015-07-31

常用PPT模板

ios风格扁平化设计商务实用报告,IOS风格简约蓝ppt模板,浪潮之巅读书笔记欣赏,美发行业O2O跨界营销方案ppt模板,用ppt玩IOS7,北京旅游日记ios风格ppt模板等常用PPT模板

2015-07-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除