自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (11)
  • 收藏
  • 关注

空空如也

基于FPGA的232/422串口

基于FPGA的串口的实现,verilog编写,可以在任意支持该编程语言的软件下使用,RS232和RS422均可实现,程序可修改性高,非常建议下载使用

2020-11-05

SystemVerilog(推荐看).rar

该文档适用于SystemVerilog初学者看,非常经典的书籍

2019-06-19

期末试卷(带答案).rar

天津工业大学信号与系统期末考试原题,需要的可以下载

2019-06-19

pcitree 上位机软件

适应于pcie接口的开发,上位机软件,亲测可用,适用于xp系统

2018-12-18

基于FPGA的pcie接口设计

基于xilinx公司的PCIE IP核进行了pcie接口的仿真,本设计采用modelsim软件进行仿真,亲测有效

2018-09-14

基于FPGA的UART接口设计

基于xilinx公司的KC705开发板,设计了一套基于FPGA的UART接口,亲测收发无误

2018-09-14

基于FPGA的DDS信号发生器设计

基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波 方波 三角波 锯齿波四种波形,并且波形频率可调

2018-09-14

xilinx FFT

基于xilinx公司提供的FFT核,编写了硬件测试代码,经测试所得结果与计算结果一致

2018-09-14

RISC_CPU完整代码

基于FPGA的嵌入式CPU开发,verilog编写,完全仿真可用

2017-11-30

xap1171.zip

xilinx官方网站上下载的资源,和大家一起分享学习!

2015-03-12

xapp1040.zip

xilinx官方网站上下载的资源,和大家一起分享学习!

2015-03-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除