自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 收藏
  • 关注

原创 AX7A200教程(9): ov5640摄像头输出显示720p视频

ov5640摄像头视频通过ddr3缓存后,最后使用hdmi接口进行输出显示

2023-12-18 22:26:24 1333

原创 AX7A200教程(8): HDMI输入和输出显示1080p视频

本章节主要将hdmi输入的1080p视频通过ddr3缓存,然后通过hdmi输出口输出到显示屏上显示。

2023-07-30 22:30:00 1430 6

原创 紫光同创开发板使用教程(一):debug用法

我们这里通过debug抓一个普通led工程的部分信号下面是代码,输入差分200M。

2023-06-01 18:30:00 1495

原创 AX7A200教程(7): 基于DDR3的串口发送和接收(64次突发)(二)

这个工程测了几次了每次测试总是接收的数据量不对,以为是工程的问题,然后通过ila各种抓数据发现读和写的地址都没有错,最后发现接收和发送的时间比较长,一直将开发板放到哪里自己发送和接收,然后电脑运行一段时间360出现弹窗屏保,居然会让串口中断一下,所以一直导致接收的数据不对,搞了好几天才发现是弹窗导致的,真是无语了,然后将弹窗关闭后,果然接收的数据是对的,希望大家不要碰到我踩过的坑。可以看到黑金开发板的uart的rx灯一直在闪烁,说明一直在接收串口发送的数据。突发读使能设置为64产生一次突发读突发。

2023-05-03 20:12:51 1255 29

原创 AX7A200教程(6): 串口接收图片数据,通过hdmi接口输出显示

因接收的是图片,所以不需要对ddr写使用vs场信号进行清零,ddr读外接hdmi显示屏是动态显示,所以需要对ddr读端口使用vs场信号进行清零,可以看到只有输出的vout_vs进行清零,输入的vin_vs悬空。可以看到当读有效可以抓到3F 60 7F,而且从上面的输入数据起始可以看出一共输入了四个7F 60 3F,所以可以看到输出数据前面一部分值都是一样的3F 60 7F,下一个数据也和输入是一样的42 63 82。串口接收数据,并且通过串口发送接口发出来,可以看到发送的数据量就是1024*768*3。

2023-04-12 22:20:57 1221 28

原创 AX7A200教程(5): 基于DDR3的串口发送和接收(一次突发)(一)

本章节主要使用ddr3做为缓存,串口接收的数据通过ddr缓存后通过发送模块发送出去。整体的功能框图所下图所示。

2023-02-07 23:52:14 1527 48

原创 AX7A200教程(4): DDR3的读写fifo仿真

在上篇博客中,我们只是进行突发读写,没有使用读写fifo对ddr3进行读写,因ddr3读写接口都是256位宽,所以ddr3的读写fifo输入和输出都是32位,和ddr3对接的接口都是256位,如下面示意图所示。下面的截图为ddr3的fifo读写功能示意图,本章节侧重于对write_fifo和read_fifo的仿真

2023-01-24 19:59:57 4306 33

原创 AX7A200教程(3): DDR3突发读写

因ddr3读写部分控制信号比较多,所以ddr3读写控制模块比较复杂,本章节着重于一个256位数据的突发读写,ddr读写控制模块暂不引出行复位部分,简化了ddr读写控制模块也让各种童鞋理解更清晰。

2023-01-22 15:25:03 3500 13

原创 AX7A200教程(2): DDR3仿真平台搭建(二)

本章主要新建ddr3工程,然后将官方的ddr3仿真文件加入到工程里进行仿真,开发环境2020.1。

2023-01-20 22:24:27 1452 4

原创 AX7A200教程(1): DDR3仿真平台搭建(一)

鉴于很多童鞋无法仿真自己新建的DDR工程,即使使用modelsim仿真也仿真失败,本例程着重于在vivado中,对自己新建的带DDR3的工程进行仿真。

2023-01-20 16:50:28 2838

原创 SDRAM单字节读写硬件测试

硬件测试示意图

2020-08-31 20:48:46 786 1

原创 SDRAM单字节读写仿真测试

仿真测试的所有文件

2020-08-30 18:10:48 1351 1

原创 quartus Ⅱ 12.1 使用教程(7) vga显示测试

开发板使用的是EP4CE15F23C8,软件使用的是quartus 12.1 ,工程主要使用vga显示方格行计数//hsync计数always@(posedge clk_148M or negedge i_rst_n)begin if(i_rst_n == 1'b0) hsync_cnt <= 12'd0; else if(hsync_cnt == H_TOTAL ...

2019-12-03 09:27:46 1444 1

原创 MYIR-ZYNQ7000系列-zturn教程(27):lwip测试

开发板环境:vivado 2017.4 ,开发板型号xc7z010clg400-1,这个工程主要是进行eth测试链接:https://pan.baidu.com/s/1dUm68xhKjobq1C6st9Zn3A提取码:o868step1 新建一个vivado工程step 2 导入zynq配置文件点击Create Block Design点击OK调用z...

2019-09-02 17:25:51 2755 8

原创 quartus Ⅱ 12.1 使用教程(6) ROM 测试

开发板使用的是EP4CE15F23C8,软件使用的是quartus 12.1 ,工程主要读ROM内的数据调用ROM IPTools->MegaWizard Plug-In Manager 点击Next 选择ROM 数据宽度8bits,数据深度32words,点击Next 点击Next点击Browse,选择ROM初始化文件...

2019-08-08 21:27:56 6261 3

原创 quartus Ⅱ 12.1 使用教程(5) eeprom 读写测试

开发板使用的是EP4CE15F23C8,软件使用的是quartus 12.1 ,工程主要是对24c04进行读写eeprom顶层`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Module Name: eeprom_t...

2019-08-07 23:23:47 1568 6

原创 quartus Ⅱ 12.1 使用教程(4) uart 测试

开发板使用的是EP4CE15F23C8,软件使用的是quartus 12.1 ,工程实现的功能是使用uart进行回环测试顶层module uart_test( i_clk, i_rst_n, rx, tx ); input i_clk;input i_rst_n;input rx;output tx;...

2019-08-05 23:50:04 3006 1

原创 quartus Ⅱ 12.1 使用教程(3) 点亮LED灯

开发板使用的是EP4CE15F23C8,软件使用的是quartus 12.1 ,工程实现的功能是点亮四个LED灯打开quartus软件step1 点击File-->New Project Wizard点击Next填写工程名led_test,点击Next点击Next选择开发板型号,点击Next点击Next点击Finish...

2019-08-05 10:40:18 10293 3

原创 quartus Ⅱ 12.1 使用教程(2) modelsim 仿真

鉴于每次modelsim时间长了不用就忘记怎么使用,所以这里就直接写一篇,以后忘记了直接来看这个使用教程所要仿真的工程只是一个简单的频率输出工程,将输入的50M时钟计数100次翻转一次,然后输出这个时钟原工程程序module clk_out_test( i_clk, i_rst_n, clk_out);input i_clk;input i_rst_n;outp...

2019-08-05 10:09:08 6256 1

原创 MYIR-ZYNQ7000系列-zturn教程(26):自定义axi_lite IP点亮LED灯

开发板环境:vivado 2017.4 ,开发板型号xc7z020clg400-1,这个工程主要功能是自定义一个axi_lite IP然后在SDK中控制LED闪烁工程链接:https://pan.baidu.com/s/1W2p50NZP6hKMQEdFbTcLDA 提取码:r5knstep1 新建一个vivado工程和自定义一个axi_lite IP核然后在这...

2019-07-23 19:16:23 1595 4

原创 FT232H如何使用jtag接口

一般都是FT232H配合一个eeprom,一般eeprom选93LC46B或者93LC56B这个是zedboard 的FT232H配合93LC56B这个是黑金的FT232H配合93LC56B但这个FT232H的jtag是否工作,取决于这个eeprom 93LC56B是否写入了相应的数据进去我这里对93LC46B和93LC56B写数据使用的是伟纳编程器这里对93LC5...

2019-07-01 19:22:31 23564 85

原创 MYIR-ZYNQ7000系列-zturn教程(25):读取SD卡里的图片使用hdmi显示

开发板环境:vivado 2017.4 ,开发板型号xc7z010clg400-1,这个工程主要读取SD卡里的图片使用hdmi来进行显示链接:https://pan.baidu.com/s/1QkbI0J-A_DJdUKwZKBMDDg 提取码:wp8a这个工程是以前面一篇博客里的hdmi显示通路上面进行更改的,所以vivado工程不变只是更改了SDK代码。上一篇的hdm...

2019-04-02 20:45:00 2825 2

原创 MYIR-ZYNQ7000系列-zturn教程(24):用vdma搭建hdmi显示通路

开发板环境:vivado 2017.4 ,开发板型号xc7z010clg400-1,这个工程主要用vdma核搭建hdmi显示工程链接:https://pan.baidu.com/s/1FoqtKnNewxFusP4v-DnPoQ 提取码:pvimStep1 新建工程,调用一个zynq核并配置这里配置项比较多所以没有例具体配置了哪些,这里我直接导入之前已经配置好tcl文件...

2019-03-20 18:18:29 3576 21

原创 MYIR-ZYNQ7000系列-zturn教程(23):DMA回环测试

开发板环境:vivado 2017.4 ,开发板型号xc7z020clg400-1,这个工程主要使用DMA进行回环测试先将DDR内写入数据,然后DMA通过MM2S将数据从DDR读出并写入到fifo中,再通过S2MM将数据从fifo中读出写入到DDR中构成一个回环。step1 调用一个zynq核并配置调用zynq核勾选HP0勾选reset管脚勾选SD卡和uar...

2019-03-20 18:17:40 2963

原创 MYIR-ZYNQ7000系列-zturn教程(22):用axi_iic对24C32进行读写

开发板环境:vivado 2017.4 ,开发板型号xc7z020clg400-1,这个工程主要用axi_iic对24C32进行读写链接:https://pan.baidu.com/s/1OHeFNlLTzk2xsaOUdUZ0kw 提取码:xfwaStep1 新建工程,调用一个zynq核并配置设置SD卡管脚和uart(不同的开发板会有所差异)设置SDIO频率...

2019-01-03 23:38:20 6296 8

原创 MYIR-ZYNQ7000系列-zturn教程(21):用开发板上xadc的Vp和Vn测量输入的电压

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这里主要实现的功能是用xadc的Vp和Vn来测量我们输入到开发板上的电压,如下图所示工程链接:https://pan.baidu.com/s/1JFJOHf84dn6Yf2OWi3oYhw 提取码:54a6在底板上就是这两个脚了并且我焊接了一个3.3v电源的一个简单分压电路...

2018-11-05 19:05:34 10101 37

原创 MYIR-ZYNQ7000系列-zturn教程(20):在zynq双核上跑不同的工程

开发板环境:vivado 2017.4 ,开发板型号xc7z020clg400-1,这里主要在两个不同的cpu上跑不同的程序,cpu0跑hello_world工程cpu1跑一个led不断闪烁的程序,并且两个程序能同时运行。链接:链接:https://pan.baidu.com/s/1_UY1O6umUUfxR0osuqKLzg 提取码:c0sfStep1 新建一个vivado...

2018-10-10 09:52:22 4708 3

原创 MYIR-ZYNQ7000系列-zturn教程(19):对axi_stream核进行仿真以及axi_stream总线的初步讲解

我这里一共调用了两个自定义的IP都是基于axi_stream的IP核,一个是主机master一个是从机slave,然后将这两个调用的IP例化到一个新创建的fpga工程,最后写一个仿真脚本让这个master主机对这个从机slave进行写。主机:从机:将stream接口的master和slave都例化到fpga工程的顶层文件如下图所示`timescale 1ns ...

2018-10-05 09:12:20 2752 4

原创 MYIR-ZYNQ7000系列-zturn教程(18):基础教程gpio_mio做为输入口

开发板环境:vivado 2017.4 ,开发板型号xc7z020clg400-1,这个工程主要介绍怎样将gpio_mo做为输入口使用工程链接:https://pan.baidu.com/s/1jewYR14IH0tsbvIMCIUswg 密码:glpq从这个ug585手册可以看到gpio_mio主要分布在这个Bank0 和Bank1上。这里主要介绍gpio_mio暂时不介绍gpio_...

2018-08-31 20:02:42 2010

原创 MYIR-ZYNQ7000系列-zturn教程(17):用axi_uart发送数据

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要用axi_uart发送数据,IP核设置的波特率为9600工程的网盘下载链接:https://pan.baidu.com/s/1ID426Zd85LgtAzhQMZpzNA 密码:6irgStep1 新建工程,调用一个zynq核并配置配置选中这个SD卡,工程做完后会从SD卡启动...

2018-07-20 18:40:22 8995 9

原创 MYIR-ZYNQ7000系列-zturn教程(16):对axi_lite IP核进行仿真以及axi总线的初步讲解

我这里一共调用了两个自定义的IP都是基于axi_lite的IP核,一个是主机master一个是从机slave,然后将这两个调用的IP例化到一个新创建的fpga工程,最好写一个仿真脚本让这个master主机对这个从机slave进行读写。链接:https://pan.baidu.com/s/1WFCazNaUaXBwKuJtAZNKZQ 密码:ex8l主机:从机:...

2018-06-06 19:11:51 3979 1

原创 MYIR-ZYNQ7000系列-zturn教程(15):在PS中使用ILA观测AXI总线

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要是用ILA观测PS端AXI总线的波形链接:https://pan.baidu.com/s/1hQMOID1uDXC7h4t_VM8Ysg 密码:scomStep1 已经新建好的vivado工程Step2 新建一个Block Design点击OKStep3 添加ZY...

2018-06-03 14:10:50 4897 1

原创 MYIR-ZYNQ7000系列-zturn教程(14):在PL中使用ILA进行调试

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要是用ILA观测FPGA输出管脚的波形链接:https://pan.baidu.com/s/1sVfxSVcdcRS2wgOwJUl5yg 密码:0agyStep1 先新建一个vivado 工程Step2点击这个Add Sources新建一个fpga工程在弹出的对话框中选...

2018-05-22 18:31:06 3612 4

原创 MYIR-ZYNQ7000系列-zturn教程(13):用SPI接口对eeprom M95512进行读写

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程是用spi接口对eeprom进行读写Step1 新建工程然后按照下面截图中进行配置(主要配置了DDR、SD,uart,SPI)点击自动连线将这些引脚引出如下图所示Step2 对工程进行综合Step3 生成顶层文件直接生成的顶层有多个IO口,所以我这里更改了顶层文件将...

2018-04-15 15:34:39 4379 20

原创 MYIR-ZYNQ7000系列-zturn教程(12):用i2c接口读取温度传感器STLM75

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要用I2C接口读取STLM75的温度,同时也会对其它的相关寄存器进行读写以验证程序的正确性。链接:https://pan.baidu.com/s/1WyJmJ2ZoMmwHoN3gHBN65A 提取码:uf8p下面的这个截图是STLM75的一些管脚介绍:下面的截图是z...

2018-03-03 11:34:08 4731 10

原创 MYIR-ZYNQ7000系列-zturn教程(11):i2c对24c32进行读写

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要是用i2c对24c32进行读写链接:https://pan.baidu.com/s/1EjVY9kjybUKg3oegKkx2BA提取码:dgcmStep1 新建工程然后按照下面截图中进行配置(主要配置了DDR、i2c)配置完成后进行综合、生成顶层文件,生成的顶层文件如下图所...

2018-02-23 20:04:55 4190 8

原创 MYIR-ZYNQ7000系列-zturn教程(10):debug调试

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要是用hello_world这个工程进行debug调试Step1 这是在SDK中已经新建好的hello_world工程将开发板打到SD卡启动模式JP2闭合、JP1断开,连接开发板的uart和jtag下载器,如下图所示Step2 右击hello_world-->Debug As-...

2017-12-24 14:56:18 3520

原创 MYIR-ZYNQ7000系列-zturn教程(3):gpio_mio

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要本章描述在ZYNQ中引出MIO并控制PS部分的LED灯D29。Step1 这是已经新建好的vivdao 工程 (如果大家不会新建vivado 工程请参考博文hello_worldhttp://blog.csdn.net/taowei1314520/article/details/770423...

2017-12-17 19:30:32 1589 2

原创 MYIR-ZYNQ7000系列-zturn教程(9):将bit文件固化到QSPI_Flash

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1。我们用FPGA最后生成的是二进制bit文件,bit文件下载到开发板是在内存里运行如果断电程序会丢失。如果我们用FPGA生成的bit文件和zynq核生成的fsbl合成一个BOOT.bin文件,这个zynq核配置了DDR核QSPI_Flash,然后将这个BOOT.bin文件下载到QSPI_Flash让开发板运行在...

2017-11-30 13:50:35 9663 6

原创 MYIR-ZYNQ7000系列-zturn教程(7):pl_int

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这个工程主要功能是使用拔码开关U20的三个开关来触发PL中断,并且通过串口打印出已经触发的中断按键。Step1 这是已经新建好的vivdao 工程Step2 点击Flow Navigator 下的Create Block Desion新建一个Block Desio...

2017-10-15 19:03:40 1909 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除