自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(51)
  • 资源 (1)
  • 收藏
  • 关注

转载 【验证】验证点相关总结

当然,“很多遗漏问题”,“考虑很多方面”我觉得也是有方法去解决的,无非就是些corner点的识别,然后后期跑大随机用例,各位corner点的cover cross,验证,设计和架构在一起头脑风暴,以往类似项目的易错点经验借鉴,复杂模块后期的白盒验证,还有各个TR阶段的验收标准。前期的feature要给的很清楚,开发人员最好详细划分feature,那么验证点提取就会清晰些,建立从feature到验证需求点,再到用例的跟踪矩阵,再用功能覆盖率去统计结果,定向加随机,但是也只能在人力范围内无限逼近完备。

2023-08-29 12:09:56 283

转载 【工具使用】实现大文件传输的几种方法,并实现不同电脑间大文件传输

随着的快速发展,大文件的传输需求越来越多,如何在不同的电脑之间实现大文件的快速传输,是一个挑战,下面介绍几种常用的方法可以解决这个问题。1、利用局域网传输:把两台电脑接入同一个网络环境,通过共享文件夹、FTP协议等方式进行文件的共享和传输。例如使用Windows系统自带的"共享"功能或第三方软件FileZilla等。2、利用云存储传输:使用云存储服务(如Google Drive、Dropbox等),把文件上传到云端,然后在其他电脑上下载。例如百度网盘、阿里云盘等。

2023-08-20 21:49:21 3381

转载 【仿真】X态详解 与 X态传播 VCS X-Propagation

Verilog作为硬件行为级描述语言,提供了四种状态来模拟实际电路的电平状态,1,0,x,z在整个设计流程,包含了SimulationSynthesis等不同阶段或概念,X态在不同context(上下文/语境)下也存在不同的semantics语义。

2023-08-17 12:05:44 1230

原创 我的创作纪念日

提示:你过去写得最好的一段代码是什么?提示:当前创作和你的工作、学习是什么样的关系。提示:可以和大家分享最初成为创作者的初心。提示:在创作的过程中都有哪些收获。提示:职业规划、创作规划等​​。

2023-08-09 01:37:04 97

转载 [sv] timescale

在验证仿真过程中,很多人遇到过timescale的问题,其中典型的场景是这样的:“验证环境里加了一个#200ns的延时,希望通过这样带有明确单位的延时,避免timescale设置不同而导致不同的结果;可是奇妙是就是在设置timescale为1ns/1ps和100ps/1ps时,实际的延迟就成了200ns和2000ns,而把#200ns改为#200后,反而无论怎么改timescale,实际延迟都是200ns。”(此案例使用的是vcs 2013)。

2023-07-10 23:55:11 1666

转载 【图像处理】jpeg图片格式详解

JPEG的全称是JointPhotographicExpertsGroup(联合图像专家小组),它是一种常用的图像存储格式, jpg/jpeg是24位的图像文件格式,也是一种高效率的压缩格式,文件格式是JPEG(联合图像专家组)标准的产物,该标准由ISO与CCI TT(国际电报电话咨询委员会)共同制定,是面向连续色调静止图像的一种压缩标准。其最初目的是使用64Kbps的通信线路传输720×576 分辨率压缩后的图像。通过损失极少的分辨率,可以将图像所需存储量减少至原大小的10%。

2023-06-01 14:38:42 7774

原创 【sv】plusargs

uvm_cmdline_processor做了很多功能拓展。过程记录,持续更新...

2023-05-04 19:32:41 106

转载 [sv] Verilog文件操作 - BMP色彩格式替换

转载自:

2023-04-04 14:57:25 150

转载 [sv] Verilog文件操作

Verilog 提供了很多可以对文件进行操作的系统任务。$fopen$fclose$ferror$fdisplay$fwrite$fstrobe$fmonitor$sformat$swrite$fgetc$fgets$fscanf$fread$fseek$ftell$feof$frewind$readmemh$readmemb使用文件操作任务(尤其注意 ​$sforamt​, ​$gets​, ​$sscanf。

2023-04-04 11:51:05 2182

转载 【图像处理】颜色格式 yuv

YUV420P又叫plane平面模式,Y , U , V分别在不同平面,也就是有三个平面,它是YUV标准格式4:2:0,主要分为:YU12和YV12。

2023-04-02 17:26:08 490

转载 [DPI相关] Verilog PLI简介

进行数字设计时,经常会遇到特殊的情况,Verilog 中的任务和函数已经不能满足仿真需求,需要自定义一些系统任务和函数。编程语言接口(PLI, Program Language Interface)提供了一套接口子程序,用于访问设计内部的数据结构,并可以提取仿真环境信息。用户可以调用这些子程序,自定义系统任务和系统函数,与设计内部数据以及 Verilog 仿真器环境进行交互。

2023-03-28 21:13:11 241

转载 [sv与c] linux环境下,通过PLI 获取到模块-实例的所有信息

通过vcs 输出的信息比较完善;子模块 instance.v。

2023-03-13 17:17:49 209

转载 [图像处理] PPM、PGM、PBM图像格式剖析

PBM头部用P1和P4分别表示ASCII类型和字节码类型,但没有像PPM第3行的最大值,ASCII类型的像素值都是0或1。最开始的50 36对应ASCII为P6,31 33 30 35对应ASCII为1305。第1行是P3,第2行是图像大小,第3行是最大值,一般是255。从第4行起就是每个像素的颜色值了,像素顺序一般是从左到右、从上到下,通道顺序一般是RGB。PPM、PGM、PBM这三个图像文件格式很少见,其实也不难,分别用于彩色图像、PPM格式有两种类型:字节码和ASCII。这里以PPM格式为例。

2023-03-13 00:16:29 937

转载 [接口-spi] spi接口

另一方面,距离主机越远的从机,获得服务的优先级越低,所以需要安排好从机的优先级,并且设置总线检测器,如果某个从机超时,则对该从机进行短路,防止单个从机损坏造成整个链路崩溃的情况;因此,双方以不同的速度进行数据接收和发送,就会出现问题。从理论上讲,只要实际可行,时钟速率就可以是您想要的任何速率,当然这个速率受限于每个系统能提供多大的系统时钟频率,以及最大的SPI传输速率。菊花链的最大缺点是因为是信号串行传输,所以一旦数据链路中的某设备发生故障的时候,它下面优先级较低的设备就不可能得到服务了;

2023-03-13 00:03:02 459

转载 [接口-i2c] i2c接口

本文主要介绍I2C的入门基础知识,从I2C协议的硬件层,协议层进行了简单介绍;作者能力有限,难免存在错误和纰漏,请大佬不吝赐教。版权声明:本文为CSDN博主「小麦大叔」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。

2023-03-12 23:26:31 1157

转载 [验证-VIP] 验证IP(VIP)及开发VIP的流程

经常复用的总线协议或者功能模块,可以针对其开发专用的VIP;对于总线VIP,需要master agent和slave agent,有时也需要environment去构建多个主端对从端的验证环境;VIP也需要对应的配置对象,即 configuration object,同时也需要对应的接口。功能特性提取特性覆盖率创建及映射VIP的架构。

2023-03-09 16:35:17 1071

转载 [接口-AMBA-AHB] AHB总线协议

ahb简要介绍

2023-03-09 15:57:12 1054

转载 [接口-mipi] mipi DBI

显示设备的话,分为很多种不同的类型,有的显示设备本身内部不带 Framebuffer,那么就需要主机不停的给显示设备送数据;还有的显示设备,它内部集成了 Framebuffer,主机只需要发送一帧的数据过去,然后主机就可以休息了,显示设备控制芯片那端的 Framebuffer 会自动的按照刷新率往显示上送;左边是我们的 CPU 的 DBI 控制器,右边是显示设备的控制器;左边是我们的 CPU 的 DBI 控制器,右边是显示设备的控制器;左边是我们的 CPU 的 DBI 控制器,右边是显示设备的控制器;

2023-03-01 12:04:50 809

转载 【低功耗】CMOS基础,功耗分类,低功耗方法

当bit-cell存储的内容为1而要写入0,即Q的电压值为VDD,此时只需要将WL电压值提高到VDD且BL为0,那么Q电压值将通过M6被拉低,Q' 的电压值将通过M5被拉高,再由M1/M2,M3/M4两个反相器推挽,bit-cell存储的内容就从1变成0了。因为在下级电路电压固定的情况下,内阻R越小,可以提供的电流越大。因为负载cell电阻越小,则电流越大,此时驱动cell内阻上的压降也越大,分摊到负载cell上的电压越小,导致负载cell无法维持高电平,以致出现逻辑混乱,不能实现预期的效果。

2023-01-18 18:42:47 924

转载 【低功耗-验证】Verdi Power-Aware Debug简单使用

文档与源码:介绍:Verdi_Power_Aware: 使用VCS-NLP对带UPF的RTL进行仿真,dump power相关信息,用于low power case debug。

2023-01-18 15:35:56 299

转载 【低功耗-验证】UPF,低功耗流程,VCS NLP

以 UPF/PST 作为Golden去检查UPF本身的一致性,以及检查设计/网表是否有缺少/冗余的低功耗器件,包括检查带有电源地信息的网表里面电源/地的连接是否和 UPF 的设计意图一致,等等,一般由UPF实现工程师完成,是 LP 验证检查的第一步。推荐的UPF-flow如下图所示,仿真涉及三个阶段,逻辑综合前RTL+UPF,逻辑综合后Gate-level netlist+UPF',物理实现后Gate-level netlist+UPF" 或者Gate-level netlist with PG pin。

2023-01-18 14:20:09 1306 2

转载 【数字后端】ECO

一、概述在完成布局规划、布图规划、时钟树综合以及布线等步骤之后,芯片设计的物理实现部分已经基本确定。将在ECO阶段对时序以及DRC等部分的违例进行进一步的修复。ECO(Engineering Change Order)是指在设计后期,由设计人员根据静态时序分析和后仿真中所暴露出来的问题,对电路和标准单元布局进行小范围调整,保持原设计布局布线结果基本不变的前提下做小规模优化,修复芯片的剩余违例,最终达到芯片的签核标准。在ECO阶段,不能通过后端布局布线的流程来修复违例(重新走一遍流程太费时了。。

2023-01-17 15:49:19 1695

转载 【数字后端】物理单元介绍

最后介绍一下MIMCAP,其中MIM指的是Metal-Insulator-Metal,这是一种特殊类型的用来提供电容的cell,区别于DECAP的主要特点是电容量较大,大小也比一般的std cell要大很多,而且使用的金属层一般比较高,可以重叠放在绝大部分类型的cell上而不产生DRC。在集成电路制造过程中,无论是注入还是刻蚀,贴近空旷的区域的一边都倾向于受到更多的刻蚀或者注入,这样无疑会增大cell的variation,进而对时序的准确性产生负面影响。,防止电源线的电压降和地线电压的升高。

2023-01-17 14:45:05 1652

转载 【数字后端】低功耗单元库

由于在现阶段的低功耗设计中会使用多种低功耗技术,例如多电源电压低功耗技术和门控电源同时使用时,存在两种不同电源电压其中某个区域还可以关断电源的情况,这个时候我们就需要一种同时具有隔离功能和电平转换功能的器件单元,我们称这种器件单元叫使能的电平转换器单元(Enable Level Shifter Cell)。在较早的集成电路设计时期,由于所有的器件都连接在VDD 和VSS 上,所以单元库的元件都连接在单一的通用的电源上。随着多电源电压的使用,指定特殊的电源管脚来连接特定的电压就变的必不可少了。

2023-01-17 14:12:50 516

转载 【芯片数字后端】数字后端——低功耗设计物理实施

一、低功耗设计方案综述为了实现集成电路的低功耗设计目标,我们需要在系统设计阶段就采用低功耗设计方案,因为随着设计流程的逐步推进,到了芯片设计实现阶段,降低芯片功耗的方法将越来越少,可节省功耗的百分比将不断下降,这时,设计的主要目标将会侧重于如何将设计方案变成物理实现。1、方案的选择集成电路设计流程中设计数据是有统一的格式的,系统设计到逻辑综合由RTL网表传递,逻辑综合到物理实现则由门级网表传递,布局布线后,逻辑验证和形式验证由门级网表传递。

2022-12-30 19:56:49 1861 3

转载 [工具使用:linux命令:top] top命令详细解读

top命令是Linux系统中常用的性能分析工具,可以实时地查看系统的运行情况,比如内存、CPU、负载以及各个进程的资源占用情况。鉴于工作中需要经常使用,故在此整理一下top的学习笔记。

2022-12-26 11:35:26 1125

转载 [工具使用:版本管理:cvs] cvs常用命令

rcsdiff 通常选项有 -c for context diffs, -u for unified diffs, and --side-by-side.-D date2 比较日志date2版本与rev1/date1版本之间的差异。-r rev1 比较本地工作区文件与指定版本rev1的版本之间的差异。-r rev2 比较版本rev2和rev1/date1版本之间的差异。-D date1 比较本地工作区文件与指定日期的版本之间的差异。-N 包括新加和移走的文件的版本差异。

2022-12-21 10:26:46 1384

转载 [工具使用:shell脚本] c shell 学习记录(csh)

基础总结1)定义变量1、通过set来定义局部变量x,通过x 或 者 x或者x或者{x}来使用,%x 表示变量的值的长度,?x来判断变量x是否设置,如果设置则为1,否则为0 全局变量的定义setenv v value 该变量将被此shell派生的所有子shell继承。$$表示当前进程的PID, $status 或 $? 表示退出状态。2)定义数组定义数组myarr, 通过m y a r r [ i n d e x ] 来 访 问 数 组 中 的 值 , 注 意 i n d e x 是 从 1

2022-12-20 19:10:53 2247

转载 [工具使用:Makefile] Makefile学习笔记

如果执行总控 Makefile 时,make 命令带有参数或者在上层的 Makefile 中定义了这个变量,那么 MAKEFLAGS 变量的值将会是 make 命令传递的参数,并且会传递到下层的 Makefile 中,这是一个系统级别的环境变量。它会继续载入其它的文件,一旦完成makefile的读取,make会再重试这些没有找到,或是不能读取的文件,如果还是不行,make才会出现一条致命信息。当使用 make 的选项 “-C” 的时候,命令就会进入指定的目录中,然后此变量就会被重新赋值。

2022-12-20 17:39:04 2116

转载 [工具使用:linux命令]LINUX查看进程的4种方法(小结)

LINUX查看进程

2022-12-20 17:10:50 3411

转载 [script] 脚本调试

常见脚本调试

2022-07-18 08:32:58 420

转载 [sv] region & timeslot

sv timeslot & region

2022-07-18 00:53:16 493

转载 [sv] region & timeslot

sv timeslot & region

2022-07-18 00:43:49 551

转载 [sv] region & timeslot

sv region & slot

2022-07-18 00:31:10 535 2

转载 [仿真] 后仿

后仿

2022-07-17 23:38:35 3564

原创 [工具使用: clion] clion使用相关问题记录

过程记录, 持续更新...

2022-06-10 13:47:57 900

原创 [工具使用: vscode] vscode相关使用问题记录

过程记录,持续更新。。。vscode作为一个编辑器,有着丰富的插件,可以为代码编写与调试提供诸多便利。但是,使用过程中也会有很多问题,比如笔者最容易遇到的问题就是无法启动的问题,网上有很多相关的帖子,下面引用两个快速解决vscode突然无法打开问题(应用程序无法启动)_波点兔的博客-CSDN博客_vscode为什么运行不了程序关于重装vscode打不开的三种解决方法,亲测有用_yanggg_only的博客-CSDN博客_vscode安装后打不开......

2022-05-21 12:10:08 304

原创 [sv]进程相关

过程记录,持续更新。。。1.如果某个进程特意命令(比如fork:t123 ... Join),如果disable 111,那么所有被标记为t123的进程,都会被kill掉2.task可以起到隔离作用3.fork-join可以对内部的disable fork的作用范围进行隔离............

2022-05-19 19:50:39 611

原创 [uvm]寄存器模型

过程记录,持续更新。。。常用方法整理read,write区分前门访问和后门访问,可以对reg和field进行处理,会对desire value和mirror value进行修改peek,poke是后门访问,可以对reg和field进行处理,会对desire value和mirror value进行修改,会对dut 真实值进行修改mirror,可以对reg和block进行处理,会对desire value和mirror value进行修改,如果配置了uvm_check,当dut真实值和镜.

2022-04-28 20:24:52 414

原创 [工具使用:vim命令]实用命令记录

过程记录,持续更新...1.使用printf,submatch,str2nr进行字符串处理

2022-04-01 12:05:06 151

IEEE Std 1800™-2012 for SystemVerilog.pdf

IEEE关于systemVerilog的最新标准,介绍其语法、特性。

2017-12-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除