自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 资源 (20)
  • 问答 (1)
  • 收藏
  • 关注

原创 STM32F767 使用I2C驱动DW9714,控制VCM音圈电机位移

DW9714是一款驱动摄像头对焦马达的IC,主要通过I2C进行控制,目前在linux内核目录Linux/drivers/media/i2c/下可以找到dw9714.c的驱动,使用MCU驱动的几乎没有所以自己照着数据手册写了一个。板子是正点原子STM32F767开发板,使用管脚PD3->XSDPD4->SCL PD5->SDA。方式:普通管脚模拟I2Cmyiic.h(原子的)#ifndef _MYIIC_H#define _MYIIC_H#include "...

2021-05-29 18:11:53 3332 2

原创 荔枝派zero plus llinux5.3编译

1.下载源码http://dl.sipeed.com/shareURL/LICHEEhttps://github.com/Lichee-Pi/linux.git2.安装软件包sudo apt-get install libssl-dev3.编译内核CROSS_COMPILE=arm-linux-gnueabihf- ARCH=arm make licheepi_zero_plus_defconfigmake ARCH=arm CROSS_COMPILE=arm-linux-gn

2021-05-10 10:56:01 429

原创 荔枝派zero plus uboot编译

1.下载S3uboot源码git clone -b s3-l0p-exp https://github.com/Lichee-Pi/u-boot.git2.安装交叉编译工具链和一些软件包工具链的安装可以参考zero教程:http://zero.lichee.pro/%E7%B3%BB%E7%BB%9F%E5%BC%80%E5%8F%91/uboot_build.html安装软件包sudo apt-get install python-dev sudo apt-get ins.

2021-05-10 10:38:01 418

原创 clion+stm32cubemx 移植 RTthread nano不显示msh解决办法 (stm32f767)

使用clion+stm32cubemx开发stm32 RTthread踩坑记录按照RTthread官方教程移植,出现不显示msh,也就是说finsh并没有工作解决方法:在STM32F767IGTx_FLASH.ld链接脚本中加入如下/* section information for finsh shell */ . = ALIGN(4); __fsymtab_start = .; KEEP(*(FSymTab)) __fsymtab_end = .;

2021-05-09 18:47:20 1312 3

原创 ubuntu18.04使用vcpkg安装opencv3遇到的问题

问题如下vcpkg install opencv3book@100ask:~/vcpkg$ vcpkg install opencv3Computing installation plan...The following packages will be built and installed: opencv3[core,dnn,flann,jpeg,png,quirc,tiff,webp]:x64-linux -> 3.4.13 * protobuf[core]:x64-linu

2021-03-24 11:25:14 1149 3

原创 解决ubuntu18.04使用vcpkg安装库出现的一个问题

报错描述如下book@100ask:~/vcpkg$ vcpkg install freetypeComputing installation plan...The following packages will be built and installed: * brotli[core]:x64-linux -> 1.0.9#1 * bzip2[core]:x64-linux -> 1.0.8#1 freetype[brotli,bzip2,core,png,zlib]:

2021-03-24 09:23:25 2361 7

原创 百问网STM32157适配正点原子7寸电容屏(1024X600)

百问网STM32157适配正点原子7寸电容屏(1024X600)文章目录1.修改设备树文件2.修改edt-ft5x06.c(触摸驱动)3.修改QT环境变量(重要,踩坑)1.修改设备树文件由于百问网STM32MP157的触摸IC与HDMI驱动IC复用,因此需要完成以下几个步骤(1)在stm32mp157c-100ask-512d-lcd-v1.dts文件中对i2c4节点增加ft5x06触摸的描述信息,具体参考正点原子STM32MP157开发板的配套源码,如下在正点原子出厂linux源码中找到stm3

2021-02-14 23:01:57 1541 2

原创 python3 opencv3.4.5.20(高版本可行) 实现 matlab 去除小面积对象 bwareaopen函数

def bwareaopen(image, p, level): #image为传入的灰度图或二值化后的图,p为小面积的大小,level为函数内部二值化阈值 import cv2 area = [] area1 = [] # 二值化函数 ret, binary = cv2.threshold(image, level, 255, cv2.THRES...

2019-08-28 18:19:56 2216

原创 ubuntu16.04安装crosstool-ng-1.24.0.tar.bz2 交叉编译链出现 error: Required tool not found: libtool的解决办法

没有安装libtool的话 先安装sudo apt-get install libtool如果安装了还出现这种问题接着安装sudo apt-get install libtool-bin解决!

2019-08-04 11:35:59 1555 1

原创 使用 Kendryte IDE编译自带工程gpio_led_standalone出错的解决办法

输出错误如下:INFO] [100%] Linking C executable gpio_led_standalone[ INFO] h:/k210/kendryteide/localpackage/toolchain/bin/../lib/gcc/riscv64-unknown-elf/8.2.0/../../../../riscv64-unknown-elf/bin/ld.exe: ...

2019-04-05 17:45:00 3409

转载 PyQt: “AttributeError: 'Form' object has no attribute 'exec_'” when opening second window

原因是 子窗口没有引用主窗口实例的属性解决办法:将def manual_self(self): form2_ = Form2() form2_.show() form2_.exec_()改为def manual_self(self): self.form2_ = Form2() self.form2_.show()...

2018-03-21 21:24:47 4609 2

原创 有关This application failed to start because it could not find or load the Qt platform plugin "windows

本人打算在电脑上搭建python2+pyqt5和python3+pyqt5+eric6遇到了不少问题最终还是实现了 给大家分享下首先千万别装两个版本的anaconda,anaconda会破坏原有的QT环境,包管理器确实好用,没办法还是要放弃如果装了的话 你会发现所有基于QT的软件 比如UG12.0等都会报错直接在python官网上下载python2和3,并且都加入环境变量安装完成后,为了更好地区分...

2018-03-13 16:14:37 1334

原创 UG12.0安装完成后Application failed to start because it could not find or load the QT platform的解决办法

找到NX12.0的安装目录"D:\Program Files\Siemens\NX 12\NXBIN\ugraf.exe" -nx进入qt5_plugins文件夹再进platforms文件夹复制当前路径D:\Program Files\Siemens\NX 12\NXBIN\qt5_plugins\platforms新建系统环境变量变量名:QT_QPA_PLATFORM_PLUGIN_PATH变量...

2018-03-07 16:31:41 4342

原创 用python numpy实现matlab中的std与mean函数

在matlab中求标准差>>A=[1 2 3;4 5 6]>> std(std(A))结果为0在python中可以这样写a = np.array([[1, 2,3], [4, 5, 6]]) x= np.std(np.std(a,0,ddof=1),ddof=1)结果一样在matlab中>> mean(mean(A))结果为3.5在python中可以这...

2018-02-28 14:33:36 3151

ZYNQ7020-OV5640mipi_HDMI+vivado工程.zip

board:zybo-z7-20 core:xcz7020 sensor:ov5640 (mipi) vivado2019.1 project use HDMI+MIPI vivado2020 and later include free mipi ip 转载资源下载不要积分 https://github.91chifun.workers.dev/https://github.com//Digilent/Zybo-Z7-20-pcam-5c/releases/download/v2019.1-1/Zybo-Z7-20-pcam-5c-2019.1.zip

2021-07-24

dw9714VCM.zip

stm32F767 dw9714驱动(I2C),控制vcm音圈电机移动,AF自动对焦马达驱动

2021-05-29

AD7895AR-10(PCB工程及51、32代码).zip

本人根据此篇博客https://blog.csdn.net/yishuicanhong/article/details/80480608 画的AD7895的pcb 并且移植了代码到32中,正负10V输入 转12位ADC,单电源5V供电

2020-07-26

全志H3核心板 邮票孔 引出100PIN H3 Core with emmc 极限超小体积35mmX25.7MM

自己画的一款核心板 基于全志H3 1GDDR3 8G emmc 包含nanopi多种电源IC原理图和封装 引出100PIN 目前尚未打板验证 仅供学习交流 如觉得不好 请指正 十分感谢

2020-04-20

python操作海康威视相机------例程由海康官方提供 感谢海康工作人员

通过邮件求助了海康威视SDK的管理人员,他们只给了一个简单示例。不会有任何导入错误和其它麻烦,鉴于网络上大家遇到各种问题 很头疼,分享给大家,希望大家enjoy it。

2020-04-20

RT9193-33PU5.pdf

RT9193-33PU5.pdf

2020-04-02

RT9193-25PU5.pdf

RT9193-25PU5.pdf

2020-04-02

MP2143DJ.pdf

MP2143DJ.pdf

2020-04-02

MCP73833.pdf

MCP73833.pdf

2020-04-02

LP2992AIM5X-3.3.pdf

LP2992AIM5X-3.3.pdf

2020-04-02

AN-SY8032-Allwins-ivanchen.pdf

AN-SY8032-Allwins-ivanchen.pdf

2020-04-02

TPS61090RSA.pdf

TPS61090RSA

2020-04-02

SY8106A_datasheet.pdf

SY8106A_datasheet.pdf

2020-04-02

AD7895AR-10 datasheet.pdf

AD7895AR-10 datasheet AD7895AR-10 datasheet AD7895AR-10 datasheet

2020-04-02

RGB转HDMI altium designer20 原理图和PCB 需要拿去(适配原子屏幕).zip

此PCB依据原子提供的原理图绘制而成 目前还没打板验证 后续更新

2020-04-01

全志H3 H5 及配套DDR EMMC PCB库和相关数据手册(无解压密码).zip

内含全志 H3 H5 配套DDR EMMC 数据手册及原理图库 PCB库 emmc:KLM8G1WEPD-B031 ddr H5TC8G63CMR-PBA K4B8G1646Q-Samsung

2020-03-28

python3.6.8操作海康威视网络相机.zip

使用python获取海康威视网络相机图像 测试文件为test.py 按照网上的一些教程完成了操作https://blog.csdn.net/c20081052/article/details/95082377#comments 后续遇到了封装的python模块不能导入的错误 解决方法是把所有相关的dll lib全部拷贝到测试文件所在文件夹内,具体请下载资源。 不保证百分百 跨电脑运行不出问题 安装 opencv3.4.5.20 pip3 install opencv-python==3.4.5.20 -i https://pypi.tuna.tsinghua.edu.cn/simple

2020-02-23

MFC+OpenGL实现STL文件的读取与显示--更新博主Belence_Zhao的代码/添加了多stl文件合并的python代码

参考博客:https://blog.csdn.net/Belence_zhao/article/details/80323009 MFC+OpenGL三维绘图(二)——打开一个STL文件并显示 -博主Belence_Zhao 由于博主发的源代码有乱码,因此本人参考这篇博客https://blog.csdn.net/Cracent/article/details/51049246 Opengl教程之读取stl文件并绘制在picturecontrol控件内 -博主Cracent 将两个工程中代码做了拼凑,可以正常使用! 要显示其他stl文件 只需要修改OpenGLDrawingView.cpp文件 125行和129行的stl文件路径 .stl和.txt都可以读取 多个stl文件拼接的python代码 为OpenGLDrawing文件夹中的test.py

2019-06-01

python pyqt5 numpy 做的一款调色小软件

一个简单的调色小软件,提供ui及py文件。主要用了三个Qdial表盘改变RGB值 从而改变label的背景颜色 一个复位按钮,主要重置三个旋钮值为0 还有三个按钮,分别控制显示黑色 灰色 白色

2019-04-11

2017全国电子设计大赛滚球控制系统程序

PID算法调的不是很好,有抖动,勉强完成了基础一到发挥四,供大家参考 硬件最关键的摄像头采用了pixy 可以直接输出坐标的

2018-03-07

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除