自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

搞IC的小冯

路漫漫,其修远,止途观,勤共勉。

  • 博客(68)
  • 资源 (2)
  • 收藏
  • 关注

原创 2024届数字IC设计秋招面经-鼎信

2024届ic面经--鼎信

2023-09-14 18:56:27 557

原创 时序分析与时序约束知识总结

上网总结的一些时序分析和约束的知识点,知识难免会有漏洞,希望大家不吝赐教

2023-04-24 19:47:39 1293 1

原创 FIFO设计笔记(双口RAM、同步FIFO、异步FIFO)Verilog及仿真

FIFO (First-In-First-Out) 是一种先进先出的数据缓存器,在数字ASIC设计中常常被使用。在实际开发中,多数都是直接使用公司经过top-out验证的FIFO IP或者是ISE/Vivado工具自带的FIFO IP,并不需要自己造轮子。但是,作为设计者,必须要掌握FIFO的设计方法,这样可以适配于各种类型的FPGA开发板,可以实现国产化。作为求职者,FIFO设计的相关知识在面试环节出现频率极高,需要格外关注。​ FIFO按工作时钟域的不同可以分为:同步FIFO和异步FIFO。

2023-04-05 22:21:01 4140 1

原创 时序图工具哪家强?

设计时序是基本功,怎样才能高效的设计时序图呢?下面是我搜集到的工具以及我目前在用的工具,希望大家能找到最适合自己的工具。

2023-03-20 16:59:52 864

原创 《硬件架构的艺术》读书笔记:Chapter 1 亚稳态的世界

这本书其实一般化,有点像国内填鸭式教学,很多东西不知道为什么,结论就出来了,但是作为笔试、面试来应试还是比较合适的

2023-03-17 22:27:52 2025

原创 Linux下VCS&Verdi入门级联合仿真教程

Linux下VCS&Verdi入门级联合仿真教程

2023-03-11 21:36:36 3557 2

原创 ASIC设计流程及对应EDA工具简介

ASIC设计流程及各个环节中常用的EDA工具

2023-03-10 23:15:01 999

转载 时序约束学习笔记

时序分析本质上就是一种时序检查,目的是检查设计中所有的D触发器是否能够正常工作,也就是检查D触发器的同步端口(数据输入端口)的变化是否满足建立时间要求(Setup)和保持时间要求(Hold);检查D触发器的异步端口(异步复位端口)的变化是否满足恢复时间要求(Recovery)和移除时间要求(Removal)。

2023-03-10 21:15:22 1472

转载 跨时钟域处理 3 大方法揭秘

在本篇文章中,主要介绍3种跨时钟域处理的方法,这3种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了单bit和多bit数据的跨时钟域处理,学会这3招之后,对于FPGA相关的跨时钟域数据处理便可以手到擒来。

2023-03-10 15:14:02 1427

原创 数字IC/FPGA面试笔试准备(自用填坑中)

根据前人经验总结笔试面试过程中可能遇到的问题

2023-03-09 16:35:38 3619 5

原创 跨时钟域CDC

跨时钟域,亚稳态的基础笔记

2023-03-09 16:03:08 757 2

原创 ubuntu 快速换阿里源(amd64版本通用)

ubuntu全版本快速换源

2023-03-04 16:38:12 370

转载 Modelsim使用TCL脚本编写do文件进行快速仿真(前仿真)

Modelsim使用TCL脚本编写do文件进行快速仿真(前仿真)

2023-03-04 15:08:58 1346

原创 Modelsim 操作结构和流程

Modelsim在对项目中文件进行编译、优化、仿真、调试过程中使用到的命令及存在的GUI操作

2023-03-03 22:31:44 1154

原创 使用Debussy加载设计项目

使用Debussy看项目代码的过程

2023-03-03 21:06:48 728 3

原创 Verilog的奇技淫巧[更新中]

Verilog在硬件电路设计时的一些小技巧

2022-09-29 15:41:04 1852

原创 Verilog学习笔记

只是个人学习记录,不用做经验分享,如有帮助,实在荣幸至极。

2022-09-28 11:43:49 3680

原创 Verilator+gtkwave

一、官网介绍1.官网:Veripool2.介绍:Verilator:最快的 Verilog/SystemVerilog 模拟器 执行 lint 代码质量检查 接受可合成的 Verilog 或 SystemVerilog 编译成多线程 C + + 或 SystemC 创建 XML 用于自己的工具的前端3.What Verilator Does使用类似于 GCC 或 Synopsys 的 VCS 的参数调用 Verilator。它通过读取指定的 Verilog 或 SystemV.

2022-05-06 23:49:02 6244 4

原创 树莓派OpenEuler配置TensorFlow和OpenCV环境

各个软件包版本软件包/硬件版本备注树莓派4B+2G内存GCC7.3.0python3.7.9python2和python3切换pip21.0.1OpenEuler20.03LTSwget1.20.3Numpy1.20.1h5py2.10.0OpenCV4.5.1.48TensorFlow2.0.0wheel下载链接一、安装TensorFlow1.致谢在这里感谢 Ihelontr.

2021-03-26 04:27:30 752 7

原创 Linux下Python2和Python3切换

前言-安装环境环境/软件包版本备注python22.7.8python33.7.9架构aarch64树莓派操作系统OpenEuler 20.03软件包管理工具dnfdnf命令大全临时切换python2->python3使用别名alias python='/usr/bin/python3'python --version永久切换python2->python3查看系统中有哪些python:ls /usr/b

2021-03-26 00:47:51 552

原创 【OpenEuler】树莓派上OpenEuler 20.03LTS配置WIFI并实现SSH连接

nucli介绍简述顾名思义,nmcli就是NetworkManager的cli(命令行)语法nmcli [OPTIONS…] { help | general | networking | radio | connection | device | agent | monitor } [COMMAND] [ARGUMENTS…]上面的语法就是: nmcli [选项…] 对象 [命令] [参数…]对象 = help | general | networking | radio | co

2021-03-13 00:58:36 2387

转载 关于VS Code自动更新后导致IoT Link扩展插件不可用的问题

一、IOT Link安装IOT LInk 安装介绍链接:[xiaolian90]史上最简单的VSCODE+STM32开发环境搭建方式——基于IoT Link二、出现的问题及解决办法1.问题出现的问题是已安装显示安装成功了,但左下角没有那几个菜单?是怎么回事?2.解决办法在一个论坛找到了解决办法:是vscode版本不兼容https://bbs.huaweicloud.com/forum/forum.php?mod=viewthread&tid=105946【以下是原文】有

2021-03-01 18:52:17 2198

原创 利用宝塔搭建WordPress更改固定链接后出现404的解决办法【超级简单】

一、打开宝塔面板—网站—点击IP二、点击伪静态—规则选择wordpress问题解决!

2021-01-05 00:12:57 1282

原创 【STM32单片机学习】第五课:STM32标准外设库(SPL 库)

第一部分、章节目录3.5.1.为什么会有标准外设库3.5.2_3.外设库的结构介绍和之后的学习方法1_23.5.4.标准库对硬件信息的封装方式3.5.5.使用结构体方式访问寄存器的原理3.5.6.使用结构体方式访问寄存器的实践3.5.7_8.使用标准库重写LED的程序1_23.5.9_10.RCC模块的标准库全解析1_23.5.11.RCC模块的标准库全解析33.5.12.RCC模块的标准库全解析43.5.13.RCC模块的标准库全解析53.5.14_15.使用

2020-12-24 10:07:16 1448

原创 【STM32单片机学习】第四课:GPIO控制LED(用寄存器编程)

【朱老师课程总结】第一部分、章节目录3.5.1.STM32的GPIO模块数据手册详解13.5.2.STM32的GPIO模块数据手册详解23.5.3.原理图分析与MDK工程建立3.5.4.写代码控制GPIO点亮熄灭LED13.5.5.写代码控制GPIO点亮熄灭LED23.5.6.STM32时钟设置函数移植与讲解13.5.7.STM32时钟设置函数移植与讲解23.5.8.STM32时钟设置函数移植与讲解33.5.9.STM32时钟设置函数移植与讲解43.5.10.STM32时钟设置函数

2020-12-21 01:48:54 6219 5

原创 【STM32单片机学习】第三课:开发板介绍和Keil环境搭建

【朱老师课程总结 侵删】第一部分、章节目录第二部分、章节介绍3.3.1.ARM3.0开发板详细介绍 本节主要介绍ARM3.0开发板的核心板原理图设计,以及核心板和底板的逻辑连接定义,这对编程很重要。3.3.2.ARM3.0开发板ISP下载原理分析 本节详解ARM3.0开发板的ISP下载设计,尤其是硬件电路设计及控制原理,大家会发现这个设计很巧妙,而且很多ISP下载都是这种原理的。3.3.3.PZ6806L开发板介绍 本节讲解PZ6806L这款STM32F103Z...

2020-12-20 12:30:12 3405 3

原创 【STM32单片机学习】第二课:STM32的存储器、电源和时钟体系

【朱老师课程总结 侵删】第一部分、章节目录3.2.1.STM32的存储器映像3.2.2.STM32的位带操作详解3.2.3.STM32的启动模式3.2.4.STM32的电源管理系统3.2.5.复位与时钟概述3.2.6.STM32的时钟框图详解第二部分、章节介绍3.2.1.STM32的存储器映像 本节讲述STM32的存储器映像,涉及到了内存与IO统一编址、地址总线和寻址空间的知识。继续讲解存储器映射表,后面写代码时会需要用到这张表的内容,请大家多注意细节。3.2...

2020-12-19 01:39:37 1854 2

原创 【STM32单片机学习】第一课:STM32那些你该知道的事儿

【朱老师课程总结 侵删】第一部分、章节目录3.1.1.STM32的来历背景13.1.2_3.STM32的来历背景2_33.1.4.STM32简单中文手册带读13.1.5.STM32简单中文手册带读23.1.6.STM32简单中文手册带读33.1.7.STM32的各种仿真器调试器是怎么回事3.1.8.STM32的标准库和HAL(cubeMX)库是怎么回事3.1.9.本课程使用的开发板介绍第二部分、章节介绍3.1.1.STM32的来历背景1 本节对STM3...

2020-12-17 18:00:46 2213

原创 【STC单片机学习】高级外设和项目篇二:红外遥控

【朱老师课程总结 侵删】第一部分、章节目录2.2.1.红外遥控背景知识2.2.2.原理图电路分析2.2.3.NEC协议讲解2.2.4.官方示例代码解析12.2.5.官方示例代码解析22.2.6.红外接收程序的移植和调试12.2.7.红外接收程序的移植和调试2第二部分、章节介绍2.2.1.红外遥控背景知识 本节讲述人机界面的概念以及红外遥控相关的背景知识,目的是拓宽大家的视野和知识面,为进一步深入学习指点方向。2.2.2.原理图电路分析 本节分析红...

2020-12-10 09:30:38 1479

原创 【STC单片机学习】高级外设和项目篇一:温度传感器DS18B20

【朱老师课程总结 侵删】第一部分、章节目录2.1.1.DS18B20相关背景知识12.1.2.DS18B20相关背景知识22.1.3.原理图和数据手册12.1.4.原理图和数据手册22.1.5.原理图和数据手册32.1.6.原理图和数据手册42.1.7.原理图和数据手册52.1.8.DS18B20的工作流程分析2.1.9.DS18B20的编程要点2.1.10.DS18B20移植实验12.1.11.DS18B20移植实验22.1.12.DS18B20移植

2020-12-07 14:31:33 3685 4

原创 【STC单片机学习】第十七课:LCD1602显示器

【朱老师课程总结 侵删】第一部分、章节目录第二部分、章节介绍1.17.1_2.LCD显示器相关背景1_21.17.3.开始学习LCD16021.17.4.LCD1602控制器的低层时序11.17.5.LCD1602控制器的低层时序21.17.6.代码实践1.17.7.LCD12864介绍1.17.8.LCD12864低层时序分析1.17.9.ST7565的指令集11.17.10_11.ST7565的指令集2_31.17.12.ST7565的指令集41.

2020-12-03 22:10:49 3163

原创 【STC单片机学习】第十六课:AD和DA转换

【朱老师课程总结 侵删】第一部分、章节目录1.16.1_2.AD转换及其相关背景知识1_21.16.3.原理图和数据手册1.16.4.结合例程分析时序1.16.5.代码实践1.16.6.串口直接显示电压值1.16.7.DA转换第二部分、章节介绍1.16.1.AD转换及其相关背景知识1 本节对整个课程做介绍,并且主要讲了模拟量和数字量这两个概念。1.16.2.AD转换及其相关背景知识2 本节接上节继续讲AD转换相关概念,主要是量程、精确度、分辨率、位...

2020-12-01 21:12:30 6189

原创 单片机PWM输出原理与实践

理论篇  博主自己的经历告诉我,PWM波的理解和应用确实还是挺重要的,这里专门花一期详细介绍一下什么是PWM?  PWM,英文名Pulse Width Modulation,是脉冲宽度调制缩写,它是通过对一系列脉冲的宽度进行调制,等效出所需要的波形(包含形状以及幅值),对模拟信号电平进行数字编码。  通俗的说,就是控制在一个周期内,控制高电平多长时间,低电平多长时间(前面文章种有说过IO口就只有两种状态,0和1,对应就是0和5V或者0和3.3V)。也就是说通过调节高低电平时间的变化来调节信号、能量

2020-11-26 16:05:51 6394 1

原创 【STC单片机学习】第十五课:I2C通信-EEPROM

【朱老师课程总结 侵删】第一部分、章节目录第三部分、随堂记录1.15.1.EEPROM及其背景知识1.15.2.原理图和数据手册11.15.3.原理图和数据手册21.15.4_5.I2C低层时序图和程序1_21.15.6.EEPROM读写测试11.15.7.EEPROM读写测试21.15.8.程序问题解决第二部分、章节介绍1.15.1.EEPROM及其背景知识本节围绕EEPROM讲述其相关概念,重点是单片机系统中的存储器和I2C接口。1.15.2.原理图和数据手册1本节先简单分析原理图和接线,然

2020-11-26 10:28:27 1755

转载 【转载】树莓派搭建OpenEluer环境(1):烧写镜像

实验环境获取树莓派 img 镜像刷写 SD 卡Windows 环境格式化 SD 卡写入 SD 卡Linux 环境查看磁盘分区信息卸载 SD 卡挂载点写入 SD 卡Mac 环境查看磁盘分区信息卸载 SD 卡挂载点写入 SD 卡树莓派使用实验环境Windows10/Linux/Mac树莓派 3B/3B+/4B8G 及以上的 Micro SD 卡获取树莓派 img 镜像下载适配树莓派的最新 openEuler 镜像,下面以获取 openE..

2020-11-25 10:08:01 526

转载 【转载】树莓派搭建OpenEluer环境(2):树莓派使用

启用树莓派根目录分区扩展查看磁盘分区信息分区扩容查看扩容后磁盘分区信息增大未加载的文件系统大小查看磁盘空间信息wifi 连接查看 IP 和网卡信息连接 wifi查看 IP 和无线网卡信息音频开启音频安装音频播放软件播放音频音频输出配置HDMI耳机插孔自动(默认)蓝牙开启蓝牙查看蓝牙设备连接蓝牙播放音乐文件传输GPIO简介UARTUART 连线串口通信蓝牙使用 PL011 UART,禁用串口蓝牙使用 PL01..

2020-11-25 10:06:56 2163 3

原创 【STC单片机学习】第十四课:SPI通信-实时时钟DS1302

【朱老师课程总结 侵删】第一部分、章节目录1.14.1.RTC有关的背景知识1.14.2.原理图和接线1.14.3.数据手册带读1.14.4.时序图的读法11.14.5.时序图的读法21.14.6.编程实践11.14.7.编程实践21.14.8.添加串口调试11.14.9.添加串口调试21.14.10.DS1302的时间格式详解1.14.11.向DS1302写入时间1.14.12.及时对程序进行规整第二部分、章节介绍1.14.1.RTC有关的背

2020-11-22 23:10:07 2548

原创 PicGo + Gitee实现Markdown图床

前言图床是写markdown的一个重点,但是网上推荐七牛云阿里云都是要租赁服务器的,太麻烦还要钱,微博现在挂链接又很厉害。大部分人选择用github,但是github虽好却是国外的网站,速度终究比不上国内网站,研究了小半天,在胡学长的帮助下,终于发现完美的解决方案。最终使用PicGo+Gitee完美解决!可以先安装下面三个软件,都是一路next,很简单,后面会讲怎么样TpyoraNode.jsPicGo自取:百度网盘 提取码:neu1Tpyora简介Typora是一款轻便简洁

2020-11-20 16:12:17 320

原创 【STC单片机学习】第十三课:串口通信和RS485

【朱老师课程总结 侵删】第一部分、章节目录1.13.1.通信有关的常见概念1.13.2.什么是串行通信1.13.3.51单片机的串行通信1.13.4.STC51的串行通信相关寄存器11.13.5.STC51的串行通信相关寄存器21.13.6.STC51的串行通信实战11.13.7.STC51的串行通信实战21.13.8.STC51的串行通信实战31.13.9.STC51的串行通信实战41.13.10.STC51的串行通信实战51.13.11.RS485介绍

2020-11-19 01:46:51 3780

原创 【STC单片机学习】第十二课 单片机的电机(步进电机)

【朱老师课程总结 侵删】第一部分、章节目录1.12.1.直流电机1.12.2.步进电机理论11.12.3.步进电机理论21.12.4.开发板原理图分析说明1.12.5.步进电机编程实践11.12.6.步进电机编程实践2第二部分、章节介绍1.12.1.直流电机 本节讲述电机的分类,直流电机的工作原理、驱动方法,并且使用电源直接驱动、使用电机驱动芯片驱动2种方式来驱动电机工作。1.12.2.步进电机理论1 本节首先介绍什么是步进电机,然后讲了步进电机的...

2020-11-18 10:32:57 3885 2

青岛大学组成原理课程设计整机实验代码

你是不是被组成原理实验所摧残呢?你是否渴望得到课程设计早点结束呢?这里有整机代码,想摆脱困境,赶快下载吧!

2020-10-07

ege库函数实现生命游戏迭代过程

利用ege库函数实现生命游戏迭代过程,代码在不同电脑上会有错误提示,自行百度解决就好。想必下载的也是杜老师的学生?

2018-07-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除