自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(37)
  • 资源 (6)
  • 收藏
  • 关注

原创 PCIE中断发送与接收

当设备生成MSI中断请求时,向远端设备产生一个IMWr transaction ,此transaction 的地址(IMWr)为MSI寄存器(MSI_ADDR_LOW_REG, MSI_ADDR_HIGH_REG)中的地址,数据(IMWr数据)为将MSI数据寄存器(MSI_DATA_REG)中的低5位修改为中断向量号,其余位不变。MSI中断支持32个中断向量,产生PCIE_MSI_Int0-PCIE_MSI_Int7八个中断事件,分别送给CorePac0-CorePac7。

2023-03-28 09:55:28 1491 1

原创 设置bashrc通过上下健快速查找历史命令

比 ctrl+r 更准确、有效的在历史命令纪录中查找自己想要的命令。

2023-03-16 09:55:30 334

原创 PCIE的loopback功能配置流程

b. RC模式下,链路进入L0状态后,控制器自动发送Set_Slot_Power_Limit消息,设备状态寄存器的UR detected 比特设置为1;该功能仅支持RC模式。b. 配置寄存器PIPE_LOOPBACK_CONTROL_OFF中的PIPE LoopbackEnable比特;a. 清除寄存器PIPE_LOOPBACK_CONTROL_OFF中的PIPE LoopbackEnable比特;c. 配置寄存器PORT_LINK_CTRL_REG中的Loopback Enable比特。

2023-03-15 09:30:33 1955

原创 PCIE启动建链流程

2. 配置device_type确定是EndPoint模式还是RootComplex模式。1. 使能crosslink(CX_CROSSLINK_ENABLE=1);

2023-03-15 09:07:32 1105

原创 IC验证仿真时Dump波形时fsdbDumpvars的参数介绍

$fsdbDumpvars 有三个参数:depth,scope和parameter。

2022-08-19 09:27:27 4052

原创 关于Verilog/SystemVerilog中force的使用

force和release只能用于begin...end中,如果在tb中使用,需要放在initial块中。

2022-08-19 09:10:12 9396 1

原创 Verilog语法中pullup.pulldown使用举例和分析

在模拟IP仿真时,通常会使用到上拉、下拉电阻设置(此时还不是网表或hi spice仿真),其上拉电阻对应信号可赋值为1,下拉电阻赋值为0。因此会使用到pullup或pulldown。

2022-07-25 09:56:25 2186

原创 SystemVerilog 在interface中使用modport时的例化问题

在中有一个非常实用的功能,那就是interface。在最近写一个小练习的时候,不仅使用到了interface,还在interface中使用了modport,但是在一开始例化的时候出了点问题,所以在这里说一下需要注意的地方。...

2022-07-15 10:49:42 656

原创 Perl基础实例---随机数

在日常生活中, 我们经常需要一些随机数来帮我们完成需要的任务, 比如掷个骰子, 抽个奖什么的。

2022-06-22 15:07:35 1736

原创 python之openpyxl模块的使用

python学习中

2022-06-13 11:43:48 304

转载 SystemVerilog covergroup语法小结

Covergroup是承载coverage的容器。 coverage只能收集integral Data types,对于real等类型的数据是不能收集的。

2022-05-30 10:01:03 2370

转载 IC验证面试常问题88道

定宽数组、动态数组、关联数组、队列各自特点和使用多线程fork join/fork join_any/fork join_none的用法差异Task和function的区别简述UVM的工厂机制SV中的interface的clock blocking的功能UVM从哪里启动,接口怎么传递到环境中

2022-05-30 09:41:37 5301 2

原创 VCS常用的编译和仿真选项详细说明

VCS仿真命令详解

2022-05-27 11:33:11 7763 1

原创 makefile遇到的问题— is up to date

makefile使用笔记

2022-05-20 11:37:02 1867

原创 关于sv中宏定义`define的增强使用

systemverilog中宏定义`define的用法

2022-05-18 16:37:18 5190

原创 Python:高级主题之(属性取值和赋值过程、属性描述符、装饰器)

Python的作用域和Javascript几乎一致,这里就不做解释,本文重点介绍一下三个概念:属性取值和赋值过程属性描述符装饰器

2022-05-11 16:00:46 174

原创 Python:Python学习总结

Python应该是写起来最舒服的动态语言了,一下是一些读书笔记,最后会介绍一下高级的用法:Mixin、Open Class、Meta Programming和AOP。

2022-05-11 14:47:59 412

原创 用python计算每一年的节日

以感恩节为例!!!加拿大感恩节是十月第二个星期一。美国感恩节是十一月第四个星期四。

2022-05-11 13:55:56 809

原创 初学者简易.vimrc编写指南

VIM 中可供用户定制的选项非常非常多,作为初学者,我们没有必要了解这么多东西。下面,滇狐简单列出了一些最常用的配置项,大家可以根据自己的需要将这些内容添加到自己的 .vimrc 中。

2022-04-20 16:29:58 709

转载 Linux查询端口被占用命令

常用命令:netstat -ntlp //查看当前所有tcp端口netstat -ntulp | grep 80 //查看所有80端口使用情况netstat -ntulp | grep 3306 //查看所有3306端口使用情况

2022-04-20 16:22:31 2161

原创 SVN安装以及使用教程

假如项目已经在服务器的仓库里,那么现在你要做的就是把它检出到本地。 首先创建一个空文件夹。在空文件夹内右键,选择SVN检出。

2022-04-20 16:17:06 3296 1

原创 对于bufif1、bufif0、notif1、notif0的详解

对于bufif1、bufif0、notif1、notif0,详解

2022-04-19 16:49:11 15588 1

原创 SPMI协议的理解

SPMI协议理解SPMI总线分高速和低速,高速是0-26MHz,低速是0-15MHz;总线上最多4个主设备,16个从设备;当前控制总线的主设备叫BOM,从设备分2种:一种不能申请占总线,另一种能申请占总线,这种情况下CLK的时钟是BOM发的,从设备只控制数据总线。 SPMI主设备的2个信号线是CMOS结构,从设备也是,但从设备内可以集成500k-2M的下拉电阻,也可以放到外面的连接线上;但若从设备多了,总的下拉电阻必须大于125K. 每次数据通信前,都有一个总线仲裁的过程,各设备在仲裁阶段申请总线,

2022-04-11 10:43:54 9112

转载 调试备忘录-SWD协议解析

SWD的全称应该是The Serial Wire Debug Port(SW-DP),也就是串行调试端口,是ARM目前支持的两种调试端口之一,另一个调试端口叫做JTAG Debug Port,也就是我们常用的J-link上面的调试端口(JTAG模式下)。基于ARM CoreSight调试构架,SWD可以通过传输数据包来读写芯片的寄存器。

2022-04-11 10:42:31 8490 9

转载 TortoiseGit 使用教程

作为一个软件开发人员,不可能不知道Git。Git作为一个复杂的版本控制系统,命令之多,即使经常使用,一些命令也记不住,一般只记住几个常用的命令,不是所有使用Git命令行都是高效的。本教程不讲Git命令,而是先让你用上git,再去学习git。本教程应该称作TortoiseGit入门指南。因为下面要借助一个图形化的软件,TortoiseGit来操作git。用GUI(图形界面)再谈CLI(命令行),我相信这会更容易让人接受。

2022-04-08 11:35:29 13491 2

原创 关于fsdbDumpvars/fsdbDumpfile Undefined的问题解决办法

Verdi生成fsdb波形文件问题解决办法

2021-11-18 15:20:08 6504 12

原创 常见Python面试题——代码系列

1、如何反向迭代一个序列?#如果是一个list,最快的方法使用reversetempList = [1,2,3,4,5,6]tempList.reverse()for x in tempList: print x#如果不是list,需要手动重新排列tempList = (1,2,3,4,5)for i in range(len(tempList)-1, -1, -1)...

2020-03-17 21:28:22 451

原创 什么是良好的Verilog代码风格

什么是良好的Verilog代码风格前言前段时间在公司负责制定代码规范,费了九牛二虎之力,终于整理出来一份文档。由于保密规定的缘故,无法与大家直接分享这份文档,但是文档中的大部分规范都是我自己长期总结出来的,在这里也与大家分享一下。代码示范为求直观,首先贴上一份示范代码,然后我再进行逐条详细解释。以下代码是我之前做的一个同步FIFO模块,代码如下:show source由于博客...

2020-03-14 10:03:32 364

原创 shell编程之大全

bash编程之变量bash变量类别本地变量:只对当前shell进程有效的变量,对其它shell进程无效,包当前shell进程的子进程VAR_NAME=VALUE变量赋值:向变量的存储空间保存数据变量引用:${VAR_NAME}"":弱引用,里面的变量会被替换'':强引用,里面的所有字符都是字面量,直接输出环境变量:对当前shell进程及其子shell有...

2020-03-02 21:21:52 296

原创 IC设计笔试面试经典100题(大部分有答案)

1:什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化,状态表中的每个状态都是稳定的。异步时序逻辑电路的特点:电路中除可以使用带时钟的触发...

2020-02-21 16:21:39 6001 2

原创 python的闭包函数

下面的代码是python的闭包def line(a,b): def sub_line(x): return a*x+b return sub_linef = line(3,2) #此时f=3x+2print (f(3)) #f(3) = 11运行结果C:\python3.8.1\python.exe D:/python/day3/demo.py...

2020-02-16 21:44:46 132

原创 用python解决数学问题

如题:python代码如下:for a in range(1,5): for b in range(0,9): for c in range(0,9): abc = a*100 + b*10 + c t1 = abc % 8 == 0 #甲说abc可以被2整除3次 t2 = abc...

2020-02-13 11:40:57 2387 2

原创 python实现99乘法表

for i in range(1,10): for j in range(1,i+1): print(i,'*',j,'=',i*j,end='\t') print()最后的print()的作用是换行。第一个print中的end=“\t”这里是不换行的,导致里面循环结束不会换行,那么第二个print是让第一个循环结束一下就换行一次。如果出现多个print的...

2020-02-13 11:33:24 431

原创 Vim命令及含义大全

一、Unix编辑器概述编辑器是使用计算机的重要工具之一,在各种操作系统中,编辑器都是必不可少的部件。Unix及其相似的ix操作系统系列中,为方便各种用户在各个不同的环境中使用,提供了一系列的ex编辑器,包括 ex, edit,ed 和vi.其中ex,edit,ed都是行编辑器,现在已很少有人使用,Unix提供他们的原因是考虑到满足各种用户特别是某些终端用户的需要。值得庆幸的是,Unix提供了全...

2020-02-11 17:18:50 2374 1

原创 Mercurial中的hg 命令及注释大全

用了3年的hg,突然要切换到git,最近两天被git折磨的吐血,可是擦擦干净还得干活不是~趁着对hg的了解还新鲜,总结下来,过几天git熟了再来个对比说明哈~~hg和svn最大的区别就是remote repo和working copy之间多了个local repo,还有就是强大的Queue功能。经验之谈就是,一定要发挥queue的强大优势,否则hg就白用了!这里列了一下常用的hg命令,最后还...

2018-08-21 14:11:39 4694

原创 Makefile的学习

跟我一起写 Makefile原文链接:https://blog.csdn.net/haoel/article/details/2886 陈皓概述——什么是makefile...

2018-08-18 22:43:27 202

原创 ADS软件的使用教程

ADS2015软件使用教程——数据导出方法1.打开软件2.新建工作站3 新建工程界面,选择NEXT4 先给新的工作站起个名字,然后选择NEXT5 然后选择工作站中所用的库,这里我选择了模拟/射频库,然后选择NEXT6 这里还可以修改工作站名字,我这里修改成123了,选择NEXT7 这里选择最小尺寸,然后选择NEXT8 然后选中Finish9 进入工作站后,点击鼠标右键,然后选择New Schema...

2018-03-21 23:12:17 60800 3

Intel@Low_Pin_Count.pdf

LPC总线,原名叫Low pin count Bus,是在IBM PC兼容机中用于把低带宽设备和“老旧”连接到CPU上。那些常见低速设备有:BIOS,串口,并口,PS/2的键盘和鼠标,软盘控制器,比较新的设备有可信平台模块。LPC总线通常和主板上的南桥物理相连,南桥在IBM PC AT平台上通常连接了一系列的“老旧”设备,例如两个可编程中断控制器, 可编程计时器和两个 ISA DMA 控制器。

2020-02-11

SystemVerilog for verification.pdf

在1990年代后期,Verilog硬件描述语言(HDL)成为描述仿真和综合硬件的最广泛使用的语言。 但是,IEEE标准化的前两个版本(1364-1995和1364-2001)仅具有用于创建测试的简单结构。 随着设计规模超过该语言的验证功能,创建了商业硬件验证语言(HVL),例如OpenVera和e。 不想为这些工具付费的公司却花了数百年的时间来创建自己的自定义工具。

2020-02-11

IHI0022E_amba_axi_and_ace_protocol_spec.pdf

1.简介 1.1 关于AXI协议 AMBA AXI协议支持支持高性能、高频率系统设计。 适合高带宽低延时设计 无需复杂的桥就能实现高频操作 能满足大部分器件的接口要求 适合高初始延时的存储控制器 提供互联架构的灵活性与独立性 向下兼容已有的AHB和APB接口

2020-02-10

system C教程

这个PPT深度的讲解了system C的语法等,新手上手快,老手温习看

2017-08-13

C语言深度解剖

这本电子书是新手快速入门的最好书籍之一,找工作看书的最佳选择!!!

2017-08-13

ADS2015破解文件(64位)

2016-11-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除