自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

年糕的博客

努力成为更好的自己

  • 博客(31)
  • 资源 (10)
  • 收藏
  • 关注

原创 耿耿于怀,星河万里

“当时的他是最好的他,可是很久很久以后的我,才是最好的我,最好的我们之间,隔了一整个青春。怎么奔跑也跨不过的青春”,

2022-02-23 22:28:41 394

原创 愿你永远像个boy,找到自己的光亮

01 看到这本书,实属一个意外,或许意外的事情有很多,比如走在街角看到了流泪的女子,比如穿梭闹市得见一位不曾知晓的明星,又比如惶惶终日不得的糖果不知谁早已放在了自己的口袋…… 第一眼看到这本书的封面,便有着某种共识,一男一女,黑白色的照片,有种复古或沧桑,但看看这两个人仿似又如在现代。女的腼腆害羞,扎着头巾,身上穿着白衬衫吊带裤,双手交织在一起,从表面看像一位虔诚的信仰者;而这位女孩旁边的男孩,更显得特别,他穿着一身黑色的衣服,头戴着一顶黑色的帽子,脖子上系着一条丝巾,更...

2022-02-23 22:23:31 351

原创 2021年终总结

时钟的秒针慢慢靠近中心,随着万达新年的钟声敲响。2022 你好 ,我是27岁的年糕,请多关照 。 “酒醉的蝴蝶,怎么也飞不出,花花的世界,原来我是一只,酒醉的蝴蝶”“,我迷迷糊糊能听见的歌声,我揉了下朦胧的睡眼,大喊“吵死了”,不用说,楼下的广场舞又开始了。8小时前,2021年正式结束了,由于玩的太晚,导致今天整天瘫痪在床。 《论语 -- 学而》中曾今提及:吾日三省吾身——为人谋而不忠乎?与朋友交而不信乎?传不习乎?为了更好的迎接接下来新的一年,拖着疲惫的身体,特此总结了过去的一年。

2022-01-01 21:29:17 172

原创 你看烟火,我看你 <continue to wrirte >

1. 我喜欢你,何止狼子野心,是贼心不死,是可以喜欢很久很久的那种喜欢。是夏天过去了还有秋天,是新鲜感过去了还有热忱的那种喜欢。 2. 如果你答应和我在一起,我不会用爱的名义束缚你,你可以做任何你想做的事,我只希望你在做任何事之前能想起我并为我拒绝一些事和人。 3. 一年365天,我只爱你三天,昨天、今天和明天 4. 我喜欢吃草莓,但草莓汁不行,草莓蛋糕不行,不是草莓也不行,我喜欢你,长的像你不行,性格像你也不行,不是你就是不行,我喜欢你不是因为...

2021-11-14 21:51:05 717

原创 第十二段:基于env的重用

现代芯片的验证通常分为两个层次, 一是模块级别( block level, 也称为IP级别、 unit级别) 验证, 二是芯片级别( 也称为SOC级别) 验证。 一个大的芯片在开发时, 是分成多个小的模块来开发的。 每个模块开发一套独立的验证环境, 通常每个模块有 一个专门的验证人员负责。 当在模块级别验证完成后, 需要做整个系统的验证。 假设某个系统分成了三个模块,如下图: 这三个模块在模块级别验证时, 分别有自己的driver和s...

2021-11-02 15:56:53 221

原创 记忆中的“203“ <continue to write>

那天偶然走近你五月南风正和煦也许他的双手太多情让我想留在这里后来刮风又下雨我都躲在你怀里看着我所有的小脾气无论快乐或伤心虽然到现在还孑然一身你会陪我默默忧愁到凌晨其实你知道来来往往有多少人只是扬起了灰尘明天我就要远走最后和你挥挥手但你不会哭也不会挽留给我想要的自由虽然到现在还不太安稳你会为我默默留下一盏灯其实你知道匆匆忙忙有多少人渐渐丢失了单纯许多年之后在哪里安身是否还能拥抱这样的温存其实我知道.....

2021-10-28 23:17:49 97

原创 聊天总结2.0

聊天的三个阶段: 1. 开始不熟时,聊生活化的话题(比如:吃喝玩乐),有基础的回应后(愿意与你聊天,发送的消息回应积极),进入下一阶段; 2. 开始聊比较私生活的话题(比如:家庭里,工作上遇到好玩的事情,情感经历等等),拉进彼此的距离(回应积极正常,会透露自己有空,聚会上她闺蜜走光了她还愿意和你聊天等等); 3. 开始聊暧昧话题(比如:吃饭了,我要变成更好,这样才能配上你等等),如果依然没有拒绝的态势,那么直接可以约出去,吃饭看电影,送.........

2021-08-05 10:31:16 366

原创 雷达信道化接收算法及论证(持续更新)

信道化接收在中频输入端采用信道化方式,即按频率划分若干信道,相当于将一个宽带接收机分解成若干个窄带接收机,可以使其具备窄带接收机的特性,具有更高的灵敏度及动态范围,下面对信道化接收进行理论推导。数字信道化主要通过数字信道化滤波器组完成。数字滤波器组是指具有一个共同输入,若干个输出端的一组滤波器,如图17所示。图中 为K个滤波器的冲激响应,它们有一个共同的输入信号 ,有K个输出信号 。如果这K个滤波器的功能是把宽带信号 均匀分成若干个(K个)子频带信号输出,那么就把这种滤波器叫yk-1(n)做信道

2021-08-04 22:09:11 4357 1

原创 快速用python手撸一个条形图(不借助第三方库)

这是接着上次 “如何diy一个uvm框架 ” 的实战延续,uvm生成完对比数据后,就要用python绘制出相应的条形图,方便直接观察。 关于能根据什么数据绘制条形图,这个我想了很久,目前只想到带宽波动和长度波动,其他答案麻烦在评论区回复,十分感谢! 网上关于绘制条形图大多都是调用matplotlib库,然后巴拉巴拉一堆,确实结果不错,感觉还是很香的,下图是网上随便截取的一个,你们看看就好。 但是我会这样简单的...

2021-08-03 15:25:11 348

原创 UVM搭建 ------ 进阶DIY教程

最近工作稍微没那么忙,就又开始找点书看,于是就看起《芯片验证漫游指南》,觉得有很多新鲜的知识,以前从来没用到,比如通过DPI的方式,以c为桥梁,搭建UVM与其他仿真软件的桥梁,再比如利用python处理UVM生成的数据然后以数据表格的形式展现,再或是研究生论文里导入VIP框架(论文还没看懂,给我点时间,嘻嘻嘻)。 既然我都知道这么多思路了,那不如干起来,由于我平时时间比较少(好多电视剧,电影没看呢,理解下),这个部分我会拆成几个部分来说明,希望关注下,毕竟手打字不易(很累的)...

2021-07-26 16:33:21 1386

原创 说真的,我后悔了

2019年10月31日,说真的,我后悔了。钟声即将敲响,晚上10点是最后的期限,也是我为之奋斗一年的理想,最后被现实这面尖刀深深的刺破,我的心好痛。 记得上一次写日志是一年前,我和大学考研同学窝在一个20平米的小房间,他努力为考研奋斗,而我则在准备自己的面试,同时写着自己毕业半年的心得。 然而在一年后的今天,我独自一个人写着自己的心得,记录着自己失败的过去,不由苦笑一番。半年工作,兢兢业业,我发现了自己的渺小,平凡,不甘心自己一辈子就这样苟且下去。今年3月,我做了...

2021-07-15 17:14:10 125

原创 雷达储频技术及实现方法(二次更新)

最近刚好有些时间,总结下干扰相关知识。雷达储频干扰无非是先把接收的信号储存下来,再修改一些参数转发的空间中,下面会按一下五点内容介绍:------------------------- DRFM基本工作原理 ------------------------- ------------------------- 基于DRFM在电子战中的应用 ------------------------- -...

2021-04-17 23:19:07 2276 3

原创 雷达传统的干扰方式(二次更新)

内容介绍本文档介绍了几种有源雷达干扰样式,以及算法的封装使用说明。具体内容包括: (1)欺骗式干扰,说明了距离假目标、随机假目标、高重频假目标、距离波门拖引干扰、速度拖引波门干扰、距离速度同步拖引干扰、相干假目标、多普勒噪声、瞄频噪声。 (2)遮盖式干扰,说明了阻塞噪声干扰、窄带噪声干扰。欺骗式干扰欺骗式干扰就是采用虚假的目标回波信号作用于敌方雷达的检测和跟踪系统,使雷达接收机不能正确检测真正的目标或者不能正确测量真正目标的参数信息,达到扰乱或破坏雷达检测目标...

2021-04-17 23:00:12 14074 5

原创 第十一段:功能覆盖率/随机化 functional coverage功能 (持续更新)

最近项目中需要function覆盖率,所以就专门看了这部分知识,那么开始了! 主要是声明一个covergroup,让这个covergroup随着事件sample_trans响应,sample_trans在monitor的main函数中触发。systemverilog默认是把coverpoint分成64组,我在第一句里面通过{option.auto_bin_max = 10;}把分组数改成10试试效果。 在transaction和generator那...

2021-01-16 15:38:46 1732

原创 脉内分析从零开始(持续更新)

!最近刚好有些时间,就总结一下雷达方面的知识,比较懒,麻烦关注,之后持续更新!知识准备阶段: 1.滤波器的基本概念:通带,阻带,带通纹波,阻带纹波的基本定义---->通过该路线学习滤波器的设置,信号处理中最重要的一个部分就是滤波器设计! 2.信号自相关,互相关,信号卷积的数学定义与其C语言的实现方法。 3.滤波器的多相结构的推到过程以及信道化结构的推到过程。---->这里设计信道化接收机的设计,它是脉类设计中很重要的一个过程! ...

2021-01-16 15:06:59 1704 7

原创 ecmp理论的初步认识(持续更新)

网络背景 传统的网络拓朴结构可以形象的表示为树结构,我们称之为“有中心的网络拓扑结构”,简单地认为很多流量请求最终会汇聚到主干网这样的路由中心,才能转发到下一条路径。 传统的路由协议都是采用单路径路由的方式,简单地认为,从源到目的,所有的包都通过一条路径转发(如果某条最优路径出现了问题,再考虑下一条最优路径),其它链路处于备份状态或无效状态,并且在动态路由环境下相互的切换需要一定时间。产生背景: 1)主干网总有一天会承受不了过多的流量请求; ...

2021-01-08 15:54:33 4420

原创 UVM在项目中实用的测试方法总结

目录1 概述... 11.1 简介... 11.2 目的... 11.3 原则... 12 实施流程... 13 分类... 14 实施三步走... 25 覆盖类型分析... 26 测试方法... 27 实例分析... 38 常用小技巧... 49 个性化思路... 5 概述 简介 UVM测试从根本上说属于白盒测试,其又类似于结构测试或基于代码的测试。UVM测试是一种测试用例设计方法,我...

2020-12-14 14:52:30 2215

原创 路由流量管理(TM)初步认识(二次更新)

文章是我对网络上能找到关于QOS的内容汇总,如有冒犯,联系我删除,谢谢!一. 什么叫QoS服务质量?我们需要针对网络里的哪些参数进行调优呢? 当网络发生拥塞的时候,所有的数据流都有可能被丢弃;为满足用户对不同应用不同服务质量的要求,就需要网络能根据用户的要求分配和调度资源,对不同的数据流提供不同的服务质量:对实时性强且重要的数据报文优先处理;对于实时性不强的普通数据报文,提供较低的处理优先级,网络拥塞时甚至丢弃。QoS应运而生。支持QoS功能的设备,能够提供传输品质服务;针对某种类别的数据流...

2020-12-14 14:30:22 2035

原创 预备段:uvm初级目录

目次1 组成与原理工具:uvm+cadence框架:virtual_sequence+agent1.1 组成1.2 原理分析2.1 uvm_field宏的分析2.2 sequence/sequencer的调用2.3 uvm_config_db与uvm_resource_db分析2.4 seq_item_port的分析2.5 uvm_factory的分析2.6 analysis_port的...

2020-11-28 14:26:40 288

原创 第十段:Run_test的调用

run_test是在uvm_globals.svh中定义的一个task,用于启动UVM。获取到uvm_root的单个实例,然后调用top的run_test函数。传入test_name的名字。初始化objection。设置testcase的name,从cmdline(涉及uvm_cmdline_processor类)获取+UVM_TESTNAME参数,如果有,将来使用第一个参数设置的值。如果cmdline没有设置UVM_TESTNAME,使用传入的testname。根据test_n

2020-11-27 19:19:51 2345 3

原创 第九段:Virtual_sequencer的调用(二次更新)

1)基础用法:在virtual_sequencer中将各个env的sequencer包括进来,同时base_test在connect_phase中将各个env放入指针中,在case在main_phase中设置default_sequence,让其进入phase时自启动。平常的uvm的object的控制放到sequence的body中实现,引入virtual sequencer后,则将其放入顶层的virtual sequence的body中,而且注意fork join_none的使用,避免提前运行结束。

2020-11-27 19:17:46 1224

原创 第八段:Phase的使用

1)基础用法:常用的是四种phasebuild_phase中定义初始化相关的代码。Connect_phase中定义componet的连接代码。Run_phase中定义主程序。Report_phase中定义打印相关的代码。2)项目升级用法:与上一致。3)底层原理分析Phase按照是否消耗仿真时间一共分为2种,一种是function phase,如:build phase,connet phase等,另一种是task phase,如run_phase等。如图所示:Run_phase和其他

2020-11-27 19:15:24 4200

原创 第七段:blocking/noblocking的传播,TLM_fifo的使用

1)基础用法:首先我们需要造一个喇叭,也就是create一个uvm_blocking_put_port;然后要找来听众,也就是在另外一个component里面create一个uvm_blocking_get_port ,注意这里用的是get_port而不是put_port了。把听众的位置记录在一个小本本上,也就是在env或者test里面执行analysis_base库的connect函数。要注意的是,由于我们往里面加了FIFO,所以我们需要先造一个FIFO出来,然后把FIFO跟前面两步造的喇叭.

2020-11-27 19:11:29 739

原创 第六段:analysis_port的分析

1)基础用法:首先它是一个port,那么它肯定有对应export,我们在sequencer中定义一个analysis_port,在接收端定义一个tlm_fifo,然后在agent中将需要通信的两个component连接,在需要发送的时候,加入port.write(trans),然后通过get函数获取传递来的数据。2)项目升级用法:唯一的区别是加入了p_sequencer的使用,调用对应的sequencr。3)底层原理分析:analysis_port(analysis_export)没有阻塞和非阻

2020-11-27 19:08:09 1735

原创 第五段:uvm_factory的分析(二次更新版)

1)基础用法:a. 注册当定义一个类的时候,它的类型必须要注册,UVM已经提供了专用的宏。`uvm_component_utils(class_type_name)`uvm_component_param_utils(class_type_name #(params))`uvm_object_utils(class_type_name)`uvm_object_param_utils(class_type_name #(params))这四个宏中两个是为参数化的类准备的,另外两个是

2020-11-27 19:05:51 459

原创 第四段:seq_item_port的分析(二次更新)

1)基础用法:首先它是一个port,那么它肯定有对应export,我们在agent中链接driver和squencer,我们在sequence调用send,接着在driver中调用 get_next_item获取相应的发送的激励值。2)项目升级用法:使用方法一致。3)底层原理分析:我们平常可以不用定义,直接使用seq_item_port,那么在源码中肯定定义了该参数,在driver源码中,定义了uvm_seq_item_pull_port#(req,rsp) seq_item_port,它是u.

2020-11-27 19:03:38 5710

原创 第三段:uvm_config_db与uvm_resource_db分析(二次更新)

1)基础用法:我们在工程中主要是对virtual的interface用到了config_db,大概流程如下:首先我们在顶层中例化了interface,然后就用config_db::set把interface这样一个virtual interface放入resource_pool中,resource_pool也就是两张表,之后在所需要的时候调用config_db::get获取即可,config_db::set有4个参数,前两个组成一个范围,这个范围内的单位才可以通过get获取我放入资源池的数据,第三个参数

2020-11-27 19:01:13 2018

原创 第二段:sequence/sequencer的调用

1)基础用法: 在sequence中合成激励数据,然后通过start函数启动body函数,我们在body函数中通过uvm_do(或者start_item,finish_item或者send)将激励发送出去,之后就通过fifo在drive拿到数据,然后波形化。2)项目升级用法:在tc中的csim通过start启动tb_mac的sequence的body(sequence的嵌套),然后设置发送激励参数,再次调用start进入主sequence的body函数,通过pack组装报文,send发出,进入d

2020-11-27 18:57:32 1351

原创 第一段:uvm_field宏的分析

uvm_field宏的分析1)基础用法:uvm_object macro:a. 在transaction使用uvm_object_utils_begin:它用于把一个直接或间接派生自uvm_object的类注册到factory。uvm_object_param_utils_begin:它用于把一个直接或间接派生自uvm_object的参数化类注册到factory。b. 在uvm_sequence使用:uvm_object_utils(sequence 类名)可能还需要`uv.

2020-11-27 18:50:03 3788

原创 雷达信号处理基础ppt

2020-08-16 21:48:19 1747 1

原创 总结个人关于雷达样式的见解(脉内脉间调制)

概述雷达有各种各样的脉内脉间调制样式,对于雷达的设计者来说,不外乎三个目的:1、增加雷达性能,如提高测距精度,增大侦察距离等;2、雷达的工作机制决定雷达必须采用此种样式的调制,如有些SAR雷达为保证径向测距精度,需要使用脉内宽带调制信号;3、降低雷达被电子战装备截获的概率,也就是LPI设计。对于雷达对抗方,则只会观察到信号存在脉内及脉间的调制。对于一般的雷达信号,通常只采用脉内调制,脉间频率调制,脉间PRI调制。脉间调制类型1、PD雷达的脉间特征雷达进行脉间调制的主要是PRI..

2020-08-16 21:28:09 21018 11

基于SystemVerilog的验证平台建模技术.pdf

基于SystemVerilog的验证平台建模技术.pdf

2022-01-18

UVM快速学习教程.pdf

UVM快速学习教程.pdf

2022-01-18

基于快速搜索树的路由查表算法.pdf

基于快速搜索树的路由查表算法.pdf

2022-01-18

基于FPGA的路由查表策略研究与仿真.pdf

基于FPGA的路由查表策略研究与仿真.pdf

2022-01-18

IPv6与路由查表算法.pdf

IPv6与路由查表算法.pdf

2022-01-18

基于UVM架构的EHCI验证环境研究与开发.pdf

基于UVM架构的EHCI验证环境研究与开发.pdf

2022-01-18

macos超清壁纸,有白天和黑暗模式

macos超清壁纸,有白天和黑暗模式

2022-01-18

MyDockFinder桌面软件

MyDockFinder 原始资源包, steam通版, 无收费, 让你体验苹果一样的dock和find视觉 亲测美观

2022-01-18

onenet云服务器的安卓开发包

onenet云服务器的安卓架包,实现安卓与onenet云服务器的EDP连接

2018-03-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除