自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 资源 (11)
  • 收藏
  • 关注

原创 Clock Buffer Basics

Clocksare the basic building blocks for all electronics today. For every datatransition in a synchronous digital system, there is a clock that controls aregister. Most systems use Crystals, Frequency

2015-03-22 20:05:53 1209

原创 2015年5大技术趋势

在迎接2015到来之际,我们有必要花时间来评估和预测未来的一年中将会出现的技术挑战和突破创新。通过与众多战略客户、大学合作伙伴以及TI产品线的技术专家进行探讨后,我们认为TI将在一些重要技术趋势中发挥战略性作用。这些技术趋势正推动着汽车和工业等多个市场的发展,而在此过程中,TI的工程师将帮助应对半导体技术领域的独特挑战,并利用先进技术改善我们的生活。 2015年5大技术趋势:  1、工

2015-02-09 22:55:00 517

转载 Xilinx FPGA开发板 Digilent Spartan-3E 学习资料

一、FPGA相关资料贴EDK实验 base in spartan-3e适合mircoblaze初学者  MicroBlaze嵌入式软核是一个被Xilinx公司优化过的可以嵌入在FPGA中的RISC处理器软核,具有运行速度快、占用资源少、可配置性强等优点,广泛应用于通信、军事、高端消费市场等领域。http://www.eeboard.com/bbs/thread-3740-1-1.h

2015-02-06 13:44:45 2038

原创 Xilinx的FPGA在使用DCM时的问题解决方案

在使用Xilinx的FPGA开发板时,在设计时内部需要使用不同的时钟频率,可能会需要一个甚至多个DCM把所需要的时钟频率倍频或者是分频出来,在编译、综合、映射、布局布线的过程中会出现下列问题(ERROR): ERROR:Place:1012 - A clock IOB / DCM component pair have been found that are not placed at an

2015-02-06 11:56:05 1183

原创 8259中断控制器

Overview前言    8259A芯片是一个中断管理芯片,中断的来源除了来自于硬件自身的NMI中和来自于软件的INT n指令造成的软件中断之外,还有来自于外部硬件设备的中断,这些中断的可屏蔽的。这些中断也都通过PIC(Programmable Interrupt Controller)进行控制,并传递给CPU。    一个8259A芯片最多可接收8个中断源,但由于可以将2个

2015-02-04 10:35:51 1128

原创 基于FPGA的RS232串行接口的实现

串行接口(RS-232)串行接口是连接FPGA和PC机的一种简单方式。本文主要使用FPGA来创建RS-232的收发器。    1、整个项目包括5个部分RS232是怎样工作的如何产生需要的波特率发送模块接收模块应用实例2、特性RS-232有下列特性:使用9针的"DB-9"插头(旧式计算机使用25针的"DB-25"插头).允许全双工的双向通讯(也就是说计算机可以

2015-02-03 14:07:56 3482

转载 串口通信--VHDL

fds ----------------UART的异步串口通信协议的VHDL语言实现 ---------------- --异步串行通信的采用的波特率为9600b/s,外配晶体振荡器的频率为50MHz,故还要采取分频电路  library ieee;use ieee.std_logic_1164.all;use ieee.std_logi

2015-01-29 09:14:19 6640 3

STM32内部DAC(PA4)输出

该工程主要实现STM32控制器内部DAC(PA4引脚)输出工程演示,在开发板上已运行通过,

2018-03-29

FPGA数码管计数实验

在FPGA上实现用数码管计数的实验,初学者很好的例子。

2015-04-06

基于FPGA的VGA接口驱动

基于FPGA的简单VGA接口驱动,代码用Verilog,经验证测试好用。

2015-03-19

FPGA读写EEPROM

使用FPGA读写EEPROM,经验证测试好用。

2015-03-19

基于FPGA的FLASH驱动(EXP003)

EXP06 的NIOSII CPU中使用了 SRAM和FLASH程序在SRAM中运行。固件固化方式和EXP03一样。 FPGA程序固化到EPCS4,NIOSII程序固化到FLASH中。程序控制三个管脚输出脉冲。

2015-03-19

基于FPGA的FLASH驱动程序

EXP06 的NIOSII CPU中使用了SRAM和FLASH程序在SRAM中运行。固件固化方式和EXP03一样。 FPGA程序固化到EPCS4,NIOSII程序固化到FLASH中。程序控制三个管脚输出脉冲。

2015-03-19

AD7266的Verilog驱动程序

基于FPGA的AD7266设计,硬件描述语言Verilog。

2015-03-09

1024点FFT快速傅立叶变换

1. create a new project from within Foundation 2. unzip the FFT design files into the newly created project directory 3. Open the VHDL file 'fftwrap.vhd' in the Foundation HLD editor 4. In the HDL editor create a schematic macro symbol by selecting 'Create Macro' under the 'Project' menu- bar option. This will create a symbol that can be used in the Foundation schematic design flow. 5. Start the Foundation schematic editor. 6. Running Create Macro in step 4, generated a symbol called 'fftwrap' - this should now be available in the component library in Foundation. This symbol is associated with the fftwrap .vhd file, which in turn instantiates xfft1024.ngo. Insert this symbol in to your schematic. The symbol properties for 'fftwrap' should be: $BUSDELIMITER =< $DEF=VHDL $FILE=FFTWRAP.VHD 7. Carefully follow the datsheet to interface the FFT Core to the rest of your design. Particular attention must be paid to the databus and address bus registering in the device IOB's.

2015-03-09

基于FPGA的串口通信程序(Verilog带FIFO testbench)

基于FPGA的RS232串口通信程序,Verilog程序带FIFO,带testbench程序。

2015-02-03

Verilog 串口通讯 数码管显示

Verilog写的串口通讯 实现PC与FPGA的串口通信,按键数码管显示。

2015-01-29

cvsnt服务器

cvsnt配置说明: 1、开始安装必要时禁止其防火墙(杀毒软件); 2、一直选择Next,在选择安装类型时选择全部安装(Full) 3、安装完成之后开始运行CMD,输入cvs -v检查其安装版本即是否安装成功; 4、设置环境变量: cvs -d :pserver:[email protected]:C:\CVSROOT 5、在其安装成功之后开始设置(严格执行其设置格式): set cvsroot=:pserver:[email protected]:C:\CVSROOT 端口协议\用户名\当前IP 6、登录cvs: cvs login 7、输入密码: passwd OK登录成功 测试配置已通过,若有问题请联系QQ:1131772059

2014-09-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除