自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(50)
  • 资源 (6)
  • 问答 (1)
  • 收藏
  • 关注

原创 永磁同步电机电压方程(dq轴电压方程正负的关系)

干货:       此处就不贴永磁同步电机的电压方程了,在这里我们主要来讨论下,在D_Q轴电压方程中,为什么在D轴上,Q轴的耦合项是负的,而在Q轴上,D轴的耦合项是正的?首先说明一个问题,电枢绕组是一个感性元件,而对于感性元件来说,一般电流都是滞后于电压90度的,(因为感性元件的存在,电流的增加比较慢从而导致这个问题的存在)        然后回到电机DQ轴的电压方程,DQ轴成90度的

2017-08-17 16:49:23 34983 16

原创 电压信号的隔离传输系统(模拟传输和数字传输)

电压信号的隔离传输系统设计一、设计任务:设计一种可实现低压信号隔离传输的电路方案。二、设计要求:1.输入电压信号0V-5V;2.采用模拟或数字方式实现输入、输出信号的隔离变换;3.经隔离变换后的输出电压等于输入电压。三、方案论证方案一、采用模拟方式实现电压信号隔离;选用PC817和LM353完成输入信号的放大、隔离、传输。该方案电路简单,其传输精度受光耦自身特性

2014-03-23 20:31:43 4282

原创 FPGA 亚稳态 双锁存器法

当今的数字电路都是围绕FPGA/CPLD来设计的,首选的方案时采用同步时序电路,也称作单时钟系统设计,电路中所有触发器的时钟输入共享同一个时钟,每个触发器的状态变化都是时钟的上升沿(下降沿)完成的,与时钟脉冲信号同步。但在实际电路中,纯粹单时钟系统信号设计不能完成信号在不同时钟域的传递,跨时钟域的异步时钟设计是不可避免的,异步时序设计中有多个独立的时钟源,不同时钟源存在信号频率和香味的差异,当

2017-07-24 11:01:19 2501

原创 FPGA I/O 口 时钟约束

FPGA时钟约束在高速信号的传输设计中是非常重要的,主要是考虑到了建立裕量和保持裕量,如果上述两个量有其中一个为负,则会导致锁存的数据处于亚稳态的状态。当clock为外部时钟提供,分析如下:

2017-07-19 11:47:32 3582

原创 运放的基本电路

在写运放的基本电路之前,首先说明一点,运放的“虚短”和“虚断”适用于深度负反馈的场合,这一点非常重要。运放的“虚短”和“虚断”不可通过单个运放的同向端和反向端来判断,必须要看整个电路结构。一.基本电路1.反向放大根据“虚短”和“虚断”的原理进行分析:(Vin-V-)/R2=(V--Vout)/R3

2017-07-19 11:11:00 33341 6

原创 电阻的分类

电阻器有不同的分类方法: 1.按材料分有:碳膜电阻、水泥电阻、金属膜电阻、线绕电阻、无感电阻、热敏电阻、压敏电阻、拉线电阻、贴片电阻    等。2.按功率分有:1/16w、1/8w、1/4w、1/2w、1w、2w等额定功率的电阻。3.按电阻值的精度分有:5%、10%、20%和0.1%、0.2%、0.5%、1%和2%。4.按用途分类:限流电阻、降压电阻、分压电阻、保护电阻、启动电阻、

2017-07-03 19:00:34 1971

原创 电容的分类

电容是一种经常用到的电子器件,它是由两片离得较近的金属片,中间再隔以绝缘物质组成。按绝缘物质不同,可分为不同的电容,如:云母、瓷介、纸介和电解电容。纸介电容:用两片金属箔作电机,夹在极薄的电容纸中,卷成圆柱形或者扁柱形芯子,然后密封在金属壳或者绝缘材料(如火漆、陶瓷、玻璃釉等)壳中制成。它的特点是体积小、容量可以做的较大。它的特点是体积较小、容量可以较大。但是固有电感和损耗比较大,用于低频

2017-06-28 17:12:16 5943

原创 开关电源中的干扰

共模干扰(Common-mode):两导线上的干扰电流振幅相等,而方向相同者 称为共模干扰。差模干扰(Differential-mode):两导线上的干扰电流,振幅相等,方向相反 称为差模干扰

2017-06-26 13:53:27 2117

原创 BJT与MOSFET与IGBT的区别

一.MOSFET与IGBT的区别从结构上来讲,以N型沟道为例,IGBT与MOSFET的区别在于MOSFET 的衬底为N型,IGBT的衬底为P型;从原理上说IGBT相当于一格MOSFET与BIpolar的组合,通过背面P型层空穴降低器件的导通电阻,但同时也会引入一些拖尾电流问题,从产品上来说,IGBT一般用在高压功率产品上,从600V到几千伏都有,MOSFET应用电路则从十几伏到一千左右,结构如

2017-06-19 14:50:37 16112

原创 二极管的特性与分类

二极管是一种只允许电流单一方向流过且具有两个电极的器件,许多使用的是应用其具有整流的功能。从材料方面,现在从各个方面考虑大多都选用硅二极管。一.二极管选择与使用时应该注意的问题1.注意电路中不能处理的反向恢复特性二极管使用成为问题的特性是正向电压和反向恢复特性。反向恢复特性是二极管导通时剩余的载流电子在器截至时不能瞬迅速消失引起的。反向耐压为60V以下SSD其反向恢复时间一般很小,可以

2017-06-14 11:50:48 3769

原创 运算放大器的性能指标

一.直流指标1.输入失调电压(Input offset voltage)         在理想的运算放大器中,当运放输入的电压的为0时,输出的电压也应该为0,但实际中往往不是这样的,所以输入失调电压(Vos)的含义是为了让运放输出端达到0V,需要在两个输入端之间所加的补偿电压。Vos的极性是随机的,Vos 的典型值低于10mV。失调电压与制造工艺有一定关系,其中双极型工艺(即上述的标准硅

2017-06-08 17:06:44 12805

原创 db与放大倍数之间的关系

实质是因为:对数运算把乘除法,表示成了加减法!这样分析更直观!比如:你的增益从 100倍 变化到 10倍,你怎么能 画图 直观表示这是缩小10倍变化?          要是用对数的话,直接用减小的DB 数可以精确作图,在对数坐标上表示,          可以更加简单直观用图表表示,一目了然!电压(电流)放大倍数分贝数定义:K=20lg( V0 / Vi

2017-05-22 11:29:29 5006

原创 电机控制中一些幅值关系

在电机控制中: 逆变器的相电压是线电压根号3倍。(这点知识可以通过家用电器的电压为相电压220V,而接入家里的电路线电压为380V,可以通过这点知识来记住)坐标变换如果是等幅值变换的话,可以有d轴电压的平方加q轴电压的平方为相电压的平方。

2017-02-22 16:51:25 1894

原创 TMS320F28335学习笔记------中断

TMS320F28335学习笔记: EALLOW,EDIS是成对使用的,有些寄存器是受到保护的,不能任意写,EALLOW相当于去掉保护,对写保护的寄存器进行操作后EDIS是重新把这个寄存器保护起来的意思。关于中断TMS320F28335内部有16个中短线,其中包括2个不可屏蔽中断(RESET和NMI)与14个可屏蔽中断通过相应的中断使能寄存器可以使能或者禁止

2016-07-13 15:37:38 12398

原创 GaN(第三代器件)特性的总结

1.GaN的特点:GaN与传统的MOS管不同,由于没有PN结,不存在体二极管,所以不会有反向恢复的问题;DS之间的导通是通过中间的电子层,所以可以双向导通,即常开。当需要关断时,G极加负压,实际当中不需要。()2.GaN与cool-MOS比较更低的驱动损耗,100mA的驱动电流更低的米勒效应/更低的

2016-07-13 11:03:24 18282

原创 Quartus II12.0在modelsim10.0d下的仿真

最近毕业设计用到FPGA,也是刚开始学。在软件安装这里遇到一些问题,在这里分享一下。首先安装Quartus II12.0,modelsim10.0d这两款软件。Quartus II12.0可能有三个安装包,最好每个都要安装。其实就是傻瓜式的安装,直立不再累赘。modelsim10.0d安装也是傻瓜式的。Quartus II12.0的破解方式我就不再说了,自行百度。我

2015-01-25 14:06:22 2873

原创 电感量的计算

1.根据电压作为中间变量  计算电感L*di/dt=U=N*dO/dt两边同时积分后可得:LI=NO有因为O=BS,再两边同时乘上I可得:LNI=NNBS又因为NI=Hi,B=uH所以:LHi=NNuHS则:L=NNus/i所以我们一直说电感量的大小与匝数成平方的关系,当匝数变化比较小的时候,电感量的变化却很大。。。

2014-12-31 14:55:37 1308

转载 GDI+我们可以很方便的对bmp、jpeg、gif、tiff、png格式的图片进行转换

引言:通过GDI+我们可以很方便的对bmp、jpeg、gif、tiff、png格式的图片进行转换。步骤:1)    通过GdiplusStartup初始化GDI+,以便后续的GDI+函数可以成功调用。2)    通过GetImageEncodersSize获取GDI+支持的图像格式编码器种类数numEncoders以及ImageCodecInfo数组的存放大小size。3)   

2014-04-20 00:23:55 642

原创 在一个文件夹下打开所有目录的两种方法

一.使用CFileFind类代码如下

2014-04-15 21:16:17 1024

原创 VC当中将CString转换为char

在VC当中  尤其在MFC程序当中,我们也许免不了 要和CString类打交道的,此时就会涉及到CString与char之间的转换。下面我就为大家列出几种转换方法。首先好说的:当使用Win32  Console平台时,我们想使用CString类,必须首先在设置里面设置使用MFC,再在头文件里面添加一。使用CString提供的GetBuffer函数:在MSDN中对此函数的解释:LPT

2014-04-01 19:32:22 1050

转载 C 语言中的char 与char*

C语言中由于指针的灵活性,导致指针能代替数组使用,或者混合使用,这些导致了许多指针和数组的迷惑,因此,刻意再次深入探究了指针和数组这玩意儿,其他类型的数组比较简单,容易混淆的是字符数组和字符指针这两个。。。下面就开始剖析一下这两位的恩怨情仇。。。 1 数组的本质   数组是多个元素的集合,在内存中分布在地址相连的单元中,所以可以通过其下标访问不同单元的元素。。 2 指针。   指针

2014-03-29 21:26:59 3555

原创 VC中一些小知识点

一. 在VC中与每个窗口有关的类,有一个变量(hwnd)都保存了这个窗口的句柄。二.在VC中,对于对于多线程编程,如果相对线程函数进行封装的话,可以将它放在对应的类的里面,但前面需要添加static关键字,原因是此时多线程函数,此函数在创建类的时候就已近穿件了,它并不属于哪一个对象所有。三.在VC中使用多线程编程时,使用CloseHandle()并不代表关闭次线程。CloseHan

2014-03-24 12:17:17 540

原创 C语言中会常用到的一些小程序

1.gets()可以讲标准输入设备输入的字符放进一个数组。e.g.#include void main( void ){   char line[81];   printf( "Input a string: " );   gets( line );   printf( "The line entered was: %s\n", line );}Out

2014-03-23 18:09:42 538

转载 strlen 与sizeof的区别

sizeof计算静态数组的大小,strlen计算动态大小的数组,以NULL结束。如果定义一个char*的数组,计算长度,只能用strlen。一、sizeof    sizeof(...)是运算符,在头文件中typedef为unsigned int,其值在编译时即计算好了,参数可以是数组、指针、类型、对象、函数等。    它的功能是:获得保证能容纳实现所建立的最大对象的字节大小。

2014-03-23 17:53:27 567

原创 K60的计时器/定时器的简单介绍

一. 可编辑延迟模块(PDB)PDB的基本知识:1)用途:可以为编辑间隔ADC模块的硬件触发时间,也可以编辑DAC模块间隔触发的可控时延,提供转换精准时间。2)资源:15中输入触发源;分别有8路的配置ADC和DAC触发通道;8路的脉冲输出。二.定时器FTM1)用途:支持输入捕捉,输出比较,产生可以用来控制电机的PWM信号,和电源管理应用的通道计时。2)资源:可选的时钟原有内

2014-01-04 15:40:37 7615 1

原创 单片机中的几种频率

时钟频率、总线频率、PLL频率。一.时钟频率定义:通俗的说就是对单片执行指令提供一个节拍。产生:具体的产生有以下两种方式:     一:内部方式     则是利用芯片内反相器和电阻组成的振荡电路,,在XTAL1和XTAL2引脚上接定时元件,如压电晶体和电容组成的并联谐振电路,则内部可产生与外加晶体同频率的振荡时钟。     一般晶体可以在1.2MHZ到12MHZ之间

2014-01-03 00:20:03 8431

原创 extern 使用心得

extern  只表示声明在一个文件下对一个变量进行声明。。。在另外一个文件下用的时候要对此变量进行声明。。。

2014-01-02 23:21:24 910

转载 如何编写testbench的总结(非常实用的总结)

如何编写testbench的总结(非常实用的总结) 1.激励的设置相应于被测试模块的输入激励设置为reg型,输出相应设置为wire类型,双向端口inout在测试中需要进行处理。方法1:为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。eg:inout [0:0] bi

2013-12-28 00:10:32 3176

原创 Verilog中的wire和reg区别

首先Verilog中物理数据可以分为线型和寄存器型,在数据定义的时候我们容易混淆。在这里我们一wire和reg为区别讨论它们的区别。主要讨论几大区别:一.reg相当于寄存器,wire相当于物理连线。。。。二.reg寄存器保持最后一次赋值,但是wire却需要连续的驱动。。三.输入端口可以是wire/reg驱动,但输入端只能是wire。输出端只能是wire驱动,但输出端口可以是wire

2013-12-27 23:19:04 1792 1

原创 Verilog中的function

省去函数的格式,主要来看看函数的调用。先举个例子:  function[7:0]  getbyte;  input[15:0]   address;  begin    getbyte = result_expression; //把结果赋给函数的返回字节  end  endmodule  函数的返回值:函数的定义蕴含了与函数同名的、函数内部的寄存器。如果在函数

2013-12-23 20:18:47 1196

原创 Verilog的块语句

块语句的类型一.顺序块1)定义:关键字begin——end用于将多条语句组成顺序块。2)特点:a.顺序块中的语句是一条一条按顺序执行的,只有在前面的语句执行完,才会执行后面的语句。(除了带有嵌入延迟语句控制和非阻塞赋值语句)注意:非阻塞语句是在整个块结束之后才会赋值的。b.如果语句包括延时或者事件控制,那么延时总是相对于前面那条语句执行完成的仿真的时间。二并行块1)

2013-12-23 14:42:34 1006

转载 modelism仿真生成的文件

波形文件的三个术语:VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件,WLF(Wave Log File). 对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟。但我们在波形窗口观察波形时,仿真结束时都会生成一个*.wlf的文件(默认是vsim.wlf)。我们下次就可以通过通过modelsim直接打开这个

2013-12-21 19:13:20 1219

转载 verilog基础(常用的语句)

关于运算符,和C语言基本相同,仅赋值运算符、等式运算符等与C语言不同,另外还有位拼接运算符。1、赋值语句:       (1)非阻塞赋值方式,  如  b              特点:块结束后才完成操作,b的值不是立刻改变的。       (2)阻塞赋值方式, 如 b = a ;               特点:赋值语句执行完后,块才结束,b的值是立刻改变的。2、块语

2013-12-18 09:42:04 7142

原创 Verilog中的常量、变量、常用的关键词

一.常量(1)整数       1)表示方法        进制表示(二、十、十六、八)符号:b(B)、d(D)、h(H)、o(O);       2)格式:       a.,这是一种全面的表示方法。       b.在这种描述方法的时候,数字的位宽常常采用默认(一般为32位)。       c.在这种描述中采用十进制的表示方法。(2)x和z,     在数字

2013-12-17 19:37:22 17847

原创 Veriog中的四种结构(initial,always,task,function)

一,initial作用:initial语句用于变量的初始化。。。注意:一个模块中可以有多个initial语句。。。所有的initial语句是并行的。二.always 声明格式:  always   注意:1)always语句由于其不断的活动,一般与时序控制一起结合实用。           2)always可等待与电平敏感是触感事件。e.g       1)  

2013-12-16 18:35:34 4560

原创 VC MFC 串口通信(多线程)

VC  MFC  串口通信(多线程)现在一般用VC写串口通信,大多数人会采取下面的三种方式:一.直接利用VC 里面的MSComm类进行编程。二.网上也有一个比较好的类,大多数人也喜欢采用SerialPort(此类其实也比较好用)三.应用API函数进行串口编程。        以上三种方式编程,我都用过的。。。(当然都不是很深入)。其实前两种用起来比较简单一点。就是对串口初始

2013-10-13 21:32:14 12255 1

转载 串口通讯编程一日通4(串口基本操作)

前几篇大概介绍了串口的相关几个结构,了解后开始进入Windows API的基本操作 1.CreateFile创建打开串口用指定的方式打开指定的串口,注意文件在Windows的概念很广泛,包括:文件、通讯设备、命名管道、邮件、磁盘和控制台。我们前面声明了句柄 /*串口句柄*/ HANDLE    m_hComm;那么接下来可以这样创建:m_

2013-10-13 20:40:38 2002

转载 串口通讯编程一日通1(整合资料)

串口是常用的计算机与外部串行设备之间的数据传输通道,由于串行通信方便易行,所以应用广泛。本文以VC++为平台进行串口通信编程串口通讯在VC++下实现方式有很多,控件自然是最简单话的方式了,但由于控件只支持对话框程序,有些场合又不需要对话框,所以用Windows API实现是比较好的方式串行通信的操作方式1.同步方式同步方式中,读串口的函数试图在串口的接收缓冲

2013-10-13 20:39:18 1097

转载 串口通讯编程一日通3(COMMTIMEOUTS DCB整理)

上一篇看了Overlapped IO模型后,接下来看剩下两个重要结构:  2.COMMTIMEOUTS结构 超时设置COMMTIMEOUTS:COMMTIMEOUTS主要用于串口超时参数设置。COMMTIMEOUTS结构如下:         typedef   struct   _COMMTIMEOUTS   {       DWORD   ReadInter

2013-10-13 20:37:20 1062

转载 串口通讯编程一日通2(Overlapped IO模型)

第一篇初步了解串口的大致运作,接下来我们看基本操作先看串口操作的数据结构:串口操作有几个比较重要的Struct1.Overlapped I/O 异步I/O模型异步I/O和同步I/O不同,同步I/O时,程序被挂起,一直到I/O处理完,程序才能获得控制。异步I/O,调用一个函数告诉OS,进行I/O操作,不等I/O结束就立即返回,继续程序执行,操作系统完成I/O之后,通知

2013-10-13 20:35:15 1451

VC++ 对话框嵌入google earth

vc++ 对话框嵌入 googleearth 实用与初学者

2013-10-18

Toggle Light 电灯控制器 样例如下图界面,任意的两个开关都能控制一个电灯的亮和熄

Toggle Light 电灯控制器 样例如下图界面,任意的两个开关都能控制一个电灯的亮和熄

2013-10-13

VC 串口通信多线程编程

这是一个在VC6.0环境下的基于MFC 的串口通信编程,适用于初学者

2013-10-13

c++ 给对话框加载背景图片

此例程为MFC对话框 背景加载图片 适合于初学者。。。。。

2013-09-16

在VC中对于Microsoft web控件的简单的使用

通过这个例程可以对c++的miscrosoft web控件有个大致的了解

2013-09-08

单片机控制数码管的显示

利用单片机对数码管数码进行控制,来实现数码管的显示,该程序对于对于入门人员和初学学者非常适用。

2012-12-13

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除