自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 资源 (34)
  • 收藏
  • 关注

原创 ERROR: [Synth 8-439] module ‘design_system_new_v_mix_0_0‘ not found 错误解决办法【2022是个越不过去的砍】

ERROR: [Synth 8-439] module 'design_system_new_v_mix_0_0' not found 错误解决办法【2022是个越不过去的砍】

2022-05-30 16:34:06 3324

原创 Vivado新年2022大彩蛋Bug|ERROR:[IMPL 213-28] Failed to generate IP 和 [Vivado 12-5601] Launch HLS failed

Vivado新年2022大彩蛋Bug|ERROR:[IMPL 213-28] Failed to generate IP 和 [Vivado 12-5601] Launch HLS failed

2022-01-12 16:10:47 3863 6

原创 嵌入式linux下使用opencv的imwrite()遇坑避险(内存泄漏+影响串口)

最近开发Zynq视频处理项目,视频通路通过VDMA导通并一系列处理。PS端,在petalinux+SDK开发应用程序,使用了opencv的最基础的imwrite,试想通过指令响应来保存当前视频中的图片到存储介质(SD、eMMC)初期语句很简短,1)给出文件路径+名称,2)检查有无同名文件,3)无同名文件时,则imwrite图片mat到路径,mat中的data指针映射到DDR中VDMA接收图像地址。如下:常规定义文件操作函数:inline bool file_exist_chk(const std

2021-07-16 16:45:59 1788 1

原创 2020-11-14 Solved “-Detected python version is less than the expected 2.7.3“

I have installed a new version "Ubuntu 20.1" , and try to install "Petalinux 2018.3",however, I meet a problem that the installation is aborted with the follow error message:ERROR: You have tools that don't meet the version requirements:-Detected py.

2020-11-14 16:24:53 2046 2

原创 Vivado 下 [Labtoolstcl 44-494] There is no active target available for server at localhost.Targets(s)

Vivado2019.1下连不上Cable USB仿真器。弹窗显示错误[Labtoolstcl 44-494] There is no active target available for server at localhost.Targets(s)产生原因:因为我想烧写ISE,把驱动通过指令方式切换了一下。再回到Vivado下就连不上仿真器了。D:cd Xilinx\14.6\ISE_DS\ISE\bin\nt64\wdreg -inf uninstall.inf -silent -l.

2020-10-07 16:20:26 5641 5

原创 Bridge from "Video In to AXI4-stream" to "AXI4-stream to Video Out" directly with VTC without VDMA

xilinx vivado下通常的视频流设计,都采用Vid In toaxi4stream --> VDMA write --> MM --> VDMA read --> axi4 stream to video out这样的路径。网上例子很多,官方参考也有xapp521,实现起来参考无压力。但这套方案明显的问题是,缓存图像带来帧延迟,对于一些延迟要求高的任务,反倒...

2020-03-07 00:12:46 1935 2

原创 DDR3 MIG生成的ucf直接复制使用在translate步骤约束部分报错问题解决办法

DDR3 MIG生成的ucf直接复制使用在translate步骤约束部分报错问题解决办法

2016-08-05 17:30:34 3414 1

原创 Xilinx ISE Map过程时间过长的解决

最近在Kintex 7上开发新的项目,骤然发现曾经在Virtex 5和Spartan 6上移植过来的成熟算法,综合布线时出现Map时间非常漫长的现象。这个漫长不是一般的长,打个比方,以前在Virtex 5下同一个算法完成全部综合布线仅用10分钟,在Kintex 7下却耗时长达7~8个小时。从console窗看,常常卡在7.8 或8.8 global placement这一步,后面有很多....

2015-04-28 22:37:36 11284 3

电机控制数学模型与推导

双馈调速及串级调速的基本概念 • 所谓双馈调速,就是将电能分别馈入绕线转子异步电动机的定子绕组和转子绕组。改变转子外接电源的幅值和相位,就可以调节异步电动机的转速、转矩和电动机定子侧的无功功率,这种双馈调速的异步电动机不但可以在亚同步转速区运行,而且可以在超同步转速区运行,因此双馈调速也叫超同步串级调速。 • 双馈调速中的转差频率难以检测和控制。 • 在工程实际中常对转子绕组的电流进行整流,并以直流形式在转子中串入外加电动势,称之为串级调速。也叫低同步串级调速,这是由于转差功率只能单方向由整流器送出的缘故。 • 串级调速是双馈调速的一种特例。

2018-08-27

电机数学模型

以二相导通星形三相六状态为例,分析BLDC的数学模型及电磁转矩等特性。为了便于分析,假定: a)三相绕组完全对称,气隙磁场为方波,定子电流、转子磁场分布皆对称; b)忽略齿槽、换相过程和电枢反应等的影响; c)电枢绕组在定子内表面均匀连续分布; d)磁路不饱和,不计涡流和磁滞损耗。

2018-08-27

北航考博资料数值分析 矩阵论 2002-2007北京航空航天大学

北航考博资料数值分析 北京航空航天大学 2002~2007年 数值分析 矩阵论资料

2018-08-27

Zynq的PL+PS Linux例程

创龙Zynq的PL+PS Linux例程文档,适合入门上手学习。很实用的教程。

2018-08-27

基于Zynq 7000实现ADV7125彩条VGA显示的工程,纯PL实现

基于Zynq 7000实现ADV7125彩条VGA显示的工程,纯PL实现。ADV7125是自己用转接板手焊的测试板,感兴趣的可以自己搭一下,很简单。(我的另一条上传,实现的PS+PL的彩条实现,基于Video Timing Controller+VDMA+AXI4-Stream Video Out,可以进阶学习)

2018-08-27

基于Zynq 7000实现ADV7125彩条VGA显示的工程,VTC+VDMA

基于Zynq 7000实现ADV7125彩条VGA显示的工程,调用了PS和PL,使用Video Timing Controller+VDMA+AXI4-Stream Video Out实现VGA视频的显示彩条。ADV7125是自己用转接板手焊的测试板,感兴趣的可以自己搭一下,很简单。(这个不太懂的可以再看我的另一条上传,实现的纯PL的彩条实现,简单很多)

2018-08-27

基于Zynq 7000实现最简单PS核工作的hello world工程

基于Zynq 7000实现最简单PS+PL串口工作的hello world工程,适合入门上手了解vivado整个操作过程

2018-08-27

FlyCapture 2 Viewer FlyCap2Viewer FLIR Camera tools

FlyCapture 2 Viewer FlyCap2Viewer 2.12.3.2 x64 FLIR Camera tools FLIR公司的网络摄像机直接配置软件和直接在线预览软件,不是SDK,适合只是为了快速配置相机的应用。 FlyCap2 Viewer Release (x64) 是在由Point Grey Research开发类别 Miscellaneous Shareware 软件。 最新版本是 FlyCap2 Viewer Release (x64) 的目前未知。 它最初被添加到我们的数据库 2014/04/18 上。 FlyCap2 Viewer Release (x64) 在下列操作系统上运行: Windows。

2018-08-26

Zynq 7000 Linux内核编译方法

Zynq 7000 Linux内核编译方法,很实用的教程,适合快速上手

2018-08-26

Xilinx Zynq 7000裸机开发例程使用手册

Xilinx Zynq 7000裸机开发例程使用手册,适合于无操作系统的快速上手

2018-08-26

Zynq 7000 开发 PetaLinux的安装

Zynq 7000 开发 PetaLinux的安装,使用Xilinx公司的Z7000开发

2018-08-26

Z7000开发板 基于SD卡或QSPI FLASH加载裸机程序方法

Z7000开发板 基于SD卡或QSPI FLASH加载裸机程序方法.pdf

2018-08-26

鸢尾科植物数据集 Iris 支持向量机 SVM xls文件

鸢尾科植物数据集 Iris 支持向量机 SVM 来自:《数据挖掘中的新方法——支持向量机》附录D xls文件

2010-07-14

分别用C和ASM写的最精简最完备的51单片机异步串行UART通信程序

分别用C语言和ASM汇编写的最精简、最完备的51单片机异步串行UART通信程序,包括双机、多机的接收、发送、中断接收子程序。两者均经双机、多机联调过,保证正确。

2010-05-26

bmp读取显示最精简的VC++程序

bmp读取显示最精简的VC++程序,使用的MFC

2010-05-26

matlab航拍图像公路提取

使用matlab对自带航拍图像进行公路提取

2009-12-13

51单片机UART rs232异步串行通信C语言最简程序

51单片机UART rs232异步串行通信C语言最简程序

2009-08-08

米联客 3G SDI GTX方案 MPSOC ZYNQ实现 3-1-06_ex_3g_sdi_07a-ev.pdf

米联客 3G-SDI GTX方案 MPSOC ZYNQ实现

2021-12-08

3-3_01米联客2020版图像处理 MPSOC ZYNQ 开发宝典

米联客2020版图像处理 MPSOC ZYNQ 开发宝典

2021-12-08

3-1_04米联客2020版FPGA PCIE通信方案(XDMA-win) MPSOC ZYNQ

04米联客2020版FPGA PCIE通信方案(XDMA-win) MPSOC ZYNQ

2021-12-08

3-1_03米联客2020版FPGA 以太网UDP通信方案(PL) MPSOC ZYNQ

03米联客2020版FPGA 以太网UDP通信方案(PL) MPSOC ZYNQ

2021-12-08

3-1_02米联客2020版FPGA数据缓存方案(PL-AXI-FDMA).pdf

02米联客2020版ZYNQ MPSOC FPGA数据缓存方案(PL-AXI-FDMA)

2021-12-08

01米联客2020版ZYNQ MPSOC SDK篇-20210527.pdf

米联客2020版ZYNQ MPSOC SDK篇 3EV 3EG 7EV

2021-12-08

新唐N-Link仿真器使用说明书

新唐N-Link仿真器 下载器 使用说明书

2021-12-08

UART I2C ISP多功能烧写工具

UART I2C ISP多功能烧写 通讯 调试工具 调试助手

2021-12-08

KEIL软件下的仿真器驱动_Nu-Link_Keil_Driver_V3.07.7246r.zip

新唐仿真器 下载器 的keil C51驱动

2021-12-08

开发软件_Keil C51_V9.60a.rar

Keil C51开发软件

2021-12-08

烧写软件_NuMicro_ICP_Programming_Tool_V3.07.7246r.zip

新唐单片机烧写工具ICP NuMicro ICP Programming Tool

2021-12-08

Atlas 200 AI加速模块 硬件开发指南 (型号 3000) 06.zip

华为海思AI计算平台Atlas 200 AI加速模块 硬件开发指南

2021-12-08

Atlas 200 AI加速模块 用户指南 (型号 3000) 10.zip

华为海思AI计算平台Atlas 200 AI加速模块 用户指南 (型号 3000) 10.zip

2021-12-08

rf7020028-v110-win.zip

佳能RF70-200 F2.8镜头固件v1.1.0版本

2021-12-08

ArcSoft_ArcFace_Linux_x64_V3.0.zip

虹软开源人脸识别SDK

2021-12-08

xilinx的mipi csi-2解决方案IP核

xilinx的mipi csi-2解决方案IP核是用于解决移动端视频从摄像头到主控制器FPGA的解码解决方案。

2019-01-29

贾云德 机器视觉 word完整版

目录 第一章 引论 第二章 人类视觉 第三章 二值图像分析 第四章 区域分析 第五章 图像预处理 第六章 边缘检测 第七章 轮廓表示 第八章 纹理 第九章 明暗分析 第十章 彩色感知 第十一章 深度图 第十二章 标定 第十三章 三维场景表示 第十四章 二维运动估计 第十五章 三维运动估计 第十六章 物体识别

2018-08-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除