自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

OpenFPGA的博客

碎碎思

  • 博客(1110)
  • 资源 (56)
  • 收藏
  • 关注

原创 FPGA在汽车领域的应用简谈

随着科技的不断进步,汽车行业也在不断演变,而FPGA(可编程逻辑门阵列)的引入给汽车领域带来了革命性的变化。FPGA作为一种灵活且高性能的“处理器”,已经在汽车行业找到了广泛的应用目前随着新能源汽车的发展,智能化成为一个重要的发展方向,而芯片领域的万金油-FPGA在发动机控制单元、辅助驾驶及通信系统(包括车辆对车辆(V2V)通信和车辆对基础设施(V2I)通信。通过V2V和V2I通信,车辆能够实现实...

2024-04-15 08:30:10 626

原创 国外大学生都用FPGA做什么项目(十四)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-04-12 08:30:27 806

原创 基于门控线性网络(GLN) 的无损图像压缩

基于门控线性网络(GLN) 的无损图像压缩本项目的目标是通过引入无损高压缩比图像压缩来使医疗数据存储系统更加高效。介绍实现基于门控线性网络(GLN)的高压缩比无损医学图像压缩算法,以提高医学图像存储和分发系统的效率。与“传统”的基于上下文的数据压缩算法相比,基于GLN的系统使用一组不同的上下文模型。所有上下文模型的输出由 GLN 组合成单个概率值,用作熵编码算法的输入值。这个想法是由 Matt M...

2024-04-08 08:31:05 265

转载 IC技术优秀文章投稿及评选活动通知

IC技术优秀文章投稿及评选活动通知主办:IC技术圈活动介绍:为促进芯片设计行业从业人员技术交流,IC技术圈将定期组织《IC技术优秀文章投稿及评选活动》,面向芯片设计行业内的工程师、在校学生,以文章创作的形式进行技术总结、经验分享。文章话题范围为IC设计相关,可包括设计、验证、后端三大方向,不少于800字。投稿要求:原创,无侵权,另附作者简介一份文中引用的数据要标明出处内容具体,不泛泛而谈实验数据真...

2024-03-27 08:30:37 47

原创 通用图形处理架构-FPGA版

介绍“互联网上的整个电子商务世界都是由图形分析驱动的”,因为图形结构可以自然地代表许多重要应用领域的数据集,例如社交网络、网络安全和机器学习。在当前的时代,图形结构呈指数级增长,这就导致了这些应用程序的数据对高性能图形处理产生了迫切的需求。目前,基于 FPGA 的图形处理加速器的仍在被大量研究;然而,高级图形应用程序与底层CPU-FPGA平台之间仍然存在差距,这需要开发人员了解硬件细节并花费大量精...

2024-03-25 08:30:58 1838

转载 全新 Spartan UltraScale+ FPGA 系列 - 以小型封装实现高 I/O 和低功耗

编者:此内容由 AMD 高级产品营销经理 Rob Bauer 提供在构建嵌入式应用的过程中,硬件设计人员长期以来面临着艰难的取舍,为推动产品快速上市,他们必须在成本、I/O 数量和逻辑密度要求之间达成平衡。我们非常高兴地宣布一款解决方案让这种取舍自此成为历史: 全新 AMD Spartan UltraScale+ FPGA 低成本系列带来出色的 I/O 逻辑单元比、低功耗以及强大的安全功能,同时兼...

2024-03-20 08:30:35 45

转载 IEEE 1800-2023 SystemVerilog新版本正式发布了,快来看看都有什么更新

2024年3月初,在美国硅谷举办的DVCon2024上,IEEE-SA和Accellera联合宣布通过IEEE Get Program可以免费获取IEEE 1800-2023 SystemVerilog语言参考手册。官方说,这个版本主要是为了满足硬件设计和验证语言日益增长的需求。相比IEEE Std 1800-2017,不仅修正了错误,还加强了易于设计的Feature,提升了验证,也增强了跨语言的...

2024-03-20 08:30:35 116

原创 FPGA 上使用 SVM 进行图像处理

SVM简介面部识别是一个经常讨论的计算机科学话题,并且由于计算机处理能力的指数级增长而成为人们高度关注的话题。面部识别在机器人、生物安全和汽车工业等许多领域都有广泛的应用,涉及对输入图像应用数学算法,提取不同的特征,表明所提供的图片中是否存在人脸。方向梯度直方图(HOG)是一种传统算法,用于提取图像特征,例如像素方向,并且可以与线性支持向量机(SVM)一起使用来将输入图像识别为人脸或不是人脸。我们...

2024-03-18 08:30:57 1451

原创 基于CNN的图像超分辨率

单图像超分辨率 (Single image super resolution,SR) 是计算机视觉中的一个经典问题, 其目的是从单个低分辨率图像中恢复高分辨率图像。这个问题本质上是不适定的,因为对于任何给定的低分辨率像素都存在解决方案的多重性。换句话说,这是一个不确定的问题,其解决方案不是唯一的。这样的问题通常通过由强先验信息约束解空间来缓解。目的是从单幅低分辨率图像中恢复出高分辨率图像。项目介绍...

2024-03-11 08:30:40 463

原创 国外大学生都用FPGA做什么项目(十三)

国外大学生都用FPGA做什么项目(十三)看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people...

2024-03-06 08:30:58 1065

转载 十几年前的PLC拆解,看起来相当过瘾!

PLC,一直是想学习的,怎奈基础不太稳定,只能大概了解一下知识,并不会编程……那就拆个十几年前的PLC来研究一下吧这个也是老旧设备不使用的,连上电以后,所有指示灯都正常显示。我们先来看一下外观,外观是由三部分组成,型号分别是XBM-DR16S、XBE-DC16A、XBE-RY08A。第一个是LS可编程控制器,第二个是LS的XGB系列I/O模块,第三个是输出模块。最右边那个是魏德米勒的24V直流电源...

2024-03-06 08:30:58 69

原创 几块钱几分钟打造示波器+波形发生器

几块钱几分钟打造示波器+波形发生器5 分钟内将 Raspberry Pi Pico(或任何 RP2040 板)变成简单的示波器+波形发生器。介绍使用 Raspberry pi Pico 作为这个项目的大脑。来自 Pico 的信号通过 USB 传输至手机,通过手机显示波形。特征200Khz带宽支持2通道500KS/s采样率时间/格:5us至20s板载1khz波用于测试低功耗USB接口支持的硬件树莓派...

2024-03-04 08:31:02 365

原创 【Vivado那些事儿】设计分析报告

实现 FPGA 设计最耗时的要素之一通常不是设计,而是实现想要的时序性能。为了实现所需的时序收敛,我们可能需要通过插入流水线并使用约束来准确定义时钟、它们的关系甚至逻辑元件的位置来调整设计。Vivado 具有许多功能可用于帮助我们了解设计的实现以及实现中可能出现的问题。这些工具之一是设计分析报告(The Design Analysis Report),它使用户能够了解设计挑战(例如拥塞)并对设计或...

2024-02-28 08:30:43 648

原创 在FPGA上为FPGA设计PCB

在 FPGA(Zynq™ UltraScale+™ MPSoC) 上的 Ubuntu 22.04 桌面映像上安装了各种 EE 设计应用程序(包括 KiCad),并用它设计 PCB。步骤从 Kria SOM wiki(https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/1641152513/Kria+SOMs+Starter+Kits#K26-...

2024-02-26 08:30:25 744

原创 国外大学生都用FPGA做什么项目(十二)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-02-22 08:30:10 473

原创 谈谈FPGA工程师如何做ISP

ISP的功能可以简单概括为使后端能正确识别“真实的”世界。凸出真实和有用,这个有用主要是后端需要的信息;真实即使其更加接近现实中人眼所看到的图像。上面特指的可见光,目前红外的应用也越来越多,商业化也会很快来到我们身边,所以红外图像的处理也是我们这篇文章讨论的一部分。分类这里我将ISP分为两大种类:可见和红外,两个种类完全不同的ISP,目前可见光市场基本被ASIC所占据,红外市场则相反,但是这仅仅是...

2024-02-20 08:30:36 1270

原创 国外大学生都用FPGA做什么项目(十一)

看看国外大学的FPGA开发项目国外大学生都用FPGA做什么项目(二)据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people....

2024-02-05 08:30:52 1109

原创 AES 加密 IP

AES 加密核心AES CryptoCores 是一个专用硬件模块,用于使用密钥加密和解密数据。介绍NIST(US NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY,美国国家标准与技术研究所)呼吁制定新的高级加密标准(Advanced Encryption Standard,AES)。该算法的选择过程向社区开放,所有 AES 提交均必须满足以下要求:块大...

2024-02-04 09:26:25 1065

原创 国外大学生都用FPGA做什么项目(十)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-31 08:30:47 1097

原创 国外大学生都用FPGA做什么项目(九)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-29 08:31:04 578

原创 FPGA 通过 UDP 以太网传输 JPEG 压缩图片

FPGA 通过 UDP 以太网传输 JPEG 压缩图片简介在 FPGA 上实现了 JPEG 压缩和 UDP 以太网传输。从摄像机的输入中获取单个灰度帧,使用 JPEG 标准对其进行压缩,然后通过UDP以太网将其传输到另一个设备(例如计算机),所有这些使用FPGA(Verilog)实现。本文是常春藤盟校Cornell University 康奈尔大学的FPGA项目,仅供参考学习~理论背景JPEG 图...

2024-01-26 08:30:27 1385

原创 使用 FPGA 播放 SD 卡中的音频文件

使用 FPGA 播放音频(一)这篇重点:如何从 SD 卡读取音频文件并将其输出到扬声器上。开篇第一步在上一篇教程中,创建了一个 I2S 发送器用来发送来从FPGA内部 ROM 的音频数据。下一步,我们向该 I2S 发送器添加 AXI-Stream 接口,这样我们就可以将发送器与 ZYNQ 的处理系统连接,还可以从 SD 卡读取音频数据。为此,创建一个新的top设计。本设计应具有以下接口:该块设计产...

2024-01-22 08:30:36 1037

原创 国外大学生都用FPGA做什么项目(八)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-19 08:30:28 934

原创 使用 FPGA 播放音频(一)

让我们看一下I2S规范,并尝试用FPGA播放音频文件。开篇第一步Inter-IC Sound Interface(简称I2S)是由飞利浦公司开发,用于通过不同IC之间的串行接口(例如从处理器到DAC)传输数字音频数据。该接口使用以下信号进行数据传输:SCK (串行时钟)——用于数据传输的时钟。SD (串行数据)- 每个数据字的各个位通过该线传输。WS (字选择)- 定义传输数据字的长度。它用于标记...

2024-01-17 08:30:37 1089

原创 国外大学生都用FPGA做什么项目(七)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-15 08:30:15 948

原创 国外大学生都用FPGA做什么项目(六)-游戏爱好者的福音

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-08 08:30:55 950

原创 国外大学生都用FPGA做什么项目(五)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-01-03 08:31:05 1012

原创 国外大学生都用FPGA做什么项目(四)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2023-12-29 08:31:05 1075

原创 国外大学生都用FPGA做什么项目(三)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。之前的文章请查看《国外大学生都用FPGA做什么项目(一)》《国外大学生都用F...

2023-12-25 08:30:17 140

原创 国外大学生都用FPGA做什么项目(二)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。今天介绍完2019年之前的项目,之前的项目详情请查看《国外大学生都用FPGA...

2023-12-22 08:31:34 175

原创 国外大学生都用FPGA做什么项目(一)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2023-12-18 08:30:17 438

转载 经过BUFGMUX的时钟该如何约束

时序场景如下图所示,clk0和clk1两个时钟输入,经过BUFGMUX后,输出到后面的逻辑,但同时clk0和clk1还分别驱动了其他逻辑。此时,如果路径A/B/C都不存在,其中A路径表示clk0与选择器输出的时钟之间的数据交互,B路径表示clk1与选择器输出的时钟之间的数据交互,C路径表示clk0和clk1之间的数据交互,那么使用下面的约束就可以了:set_clock_groups-logica...

2023-12-15 08:30:21 86

原创 FPGA直方图操作

直方图概念和分类图像直方图用作数字图像中色调分布的图形表示。它绘制了每个色调值的像素数。通过查看特定图像的直方图,观看者将能够一目了然地判断整个色调分布。图表的水平轴代表色调变化,而垂直轴代表该特定色调的像素总数。水平轴的左侧表示暗区,中间表示中间色调值,右侧表示亮区。纵轴表示在每个区域中捕获的区域大小(像素总数)。因此,非常暗图像的直方图的大部分数据点将位于图的左侧和中心。相反,具有很少黑暗区域...

2023-12-15 08:30:21 501

原创 几块钱几分钟打造100MHz采样率逻辑分析仪

几块钱几分钟打造100MHz采样率逻辑分析仪5 分钟内将 Raspberry Pi Pico(或任何 RP2040 板)变成简单的逻辑分析仪。介绍逻辑分析仪是一种电子仪器,可捕获并显示来自数字系统或数字电路的多个信号。逻辑分析仪可以将捕获的数据转换为时序图、协议解码、状态机跟踪、操作码,或者可以将操作码与源级软件相关联。逻辑分析仪具有先进的触发功能,当用户需要查看数字系统中许多信号之间的时序关系时...

2023-12-11 08:30:14 198

转载 如何搞定通信物理层?

本文整理了关于通信物理层的处理过程,参考了知乎相关话题和回答,希望可以对相关从业者提供帮助。本文在整理过程中,对原作者的回答,有部分增删的修改,同时加入我自己的经验和认识,以便于更好的理解和表述。本文梳理在无线通信中,物理层通常会包含哪些内容,以及如何落地实现。①物理层关键模块知乎作者——动话通信,对物理层算法进行了归纳。本文在编辑时,进行了适量补充。通信物理层是通信系统的基础,其任务是将数字信息...

2023-12-06 08:30:31 131

原创 用FPGA实现四通道、全频率 GNSS RF 接收器-用于卫星的精确定位

用FPGA实现四通道、全频率 GNSS RF 接收器-用于卫星的精确定位概念全球导航卫星系统(英文:Global Navigation Satellite System,GNSS ),又称全球卫星导航系统,是能在地球表面或近地空间的任何地点为用户提供全天候的3维坐标和速度以及时间信息的空基无线电导航定位系统。其包括一个或多个卫星星座及其支持特定工作所需的增强系统。全球卫星导航系统国际委员会公布的全...

2023-12-04 08:30:56 799

转载 《Vivado那些事儿》多AXI通道读写DDR的阻塞问题?

Q1基于vivado2020.1和zcu102开发板(rev1.1)开发项目,工程涉及DDR4(MIG)和PL端多个读写接口交互的问题,通过AXI interconnect进行互联和仲裁(采用默认配置)。一个完整控制周期内(约100ms),各端口读写情况如下(AWSIZE均为4):AXI1:只写入,AWLEN=119,每次写请求共计4320次突发写,完整控制周期内1次读请求AXI2:读写,AR...

2023-12-01 08:30:45 202

转载 节省编译时间系列-为多个 Vivado™ 工程复用远程 IP 高速缓存

在设计周期中,您可保留多个版本的工程,这些工程使用相同的 IP 和相同的配置。重新运行整个工程会导致每次都要重新生成 IP,很费时间。在 Vivado™工程设置中,您的 IP 存储库允许您将自己的 IP 添加到 Vivado IP 目录中,搭配远程 IP 高速缓存一起使用时,即可显著缩短编译时间。这篇博文解释了具体设置方法。要求:在阅读本设计输入之前,请确保您熟悉如何封装 IP 核。如需了解相关...

2023-12-01 08:30:45 81

转载 使用 PCIE 更新 AMD ZYNQ™ 的 QSPI Flash 参考设计

本文作者:AMD 工程师 Gao Jackie简介AMD ZYNQ™ 7000 的 S_AXI 端口提供了外设访问 PS 内部外设控制器的接口,这其中包括 4 个 S_AXI_HP 端口以及两个 S_AXI_GP 端口。一般来说,可以访问的内部资源包括 DDR 控制器,QSPI 控制器,OCM,IIC,SPI 等。本教程提供一个最小的参考设计,使上位机可以通过 PCIE 端口,通过 S_AXI_G...

2023-11-29 08:30:38 112

原创 使用 DMA 在 FPGA 中的 HDL 和嵌入式 C 之间传输数据

使用 DMA 在 FPGA 中的 HDL 和嵌入式 C 之间传输数据该项目介绍了如何在 PL 中的 HDL 与 FPGA 中的处理器上运行的嵌入式 C 之间传输数据的基本结构。介绍鉴于机器学习和人工智能等应用的 FPGA 设计中硬件加速的兴起,现在是剥开几层“云雾”并讨论 HDL 之间来回传递数据(主要指FPGA 的可编程逻辑 (PL) 中运行的代码以及 FPGA 中的硬核或软核处理器上运行的相应...

2023-11-27 08:30:16 334

ug_virtualjtag

ug_virtualjtag内有13版的虚拟JTAG的官方文档和17版的官方文档,都是英文版的

2018-09-05

高等数学 第7版 上册 同济大学

高等数学 第7版 上册 同济大学

2018-08-30

ADI高速、混合、微弱信号布线指南(中文对照

ADI高速、混合、微弱信号布线指南(中文对照) 资料写的很详细

2018-08-13

xapp623-FPGA电源设计应用指导

xapp623-FPGA电源设计应用指导-xilinx官方电源设计指导白皮书 这个是中文版的,需要英文版的把资源名称复制百度就可以找到了,也可以私信我

2018-07-18

基于FPGA的深度学习加速器设计与实现

现场可编程门阵列FPGA作为常用的加速手段之一,具有高性能、低功耗、 可编程等特点。本文采用FPGA设计针对深度学习通用计算部分的加速器,主要工作有: 1)、分析深度神经网络、卷积神经网络的预测过程和训练过程算法共性和特 性,并以此为基础设计FPGA运算单元,算法包括前向计算算法、本地预训练算法和全局训练算法。 2)、根据FPGA资源情况设计基本运算单元,包括前向计算单元和权值更新 运算单元。运算单元均进行可配置和流水线设计,在适应不同规模深度学习神经 网络的同时具有高吞吐率。 3)、分析FPGA加速器的上层框架和数据通路,编写linux操作系统下驱动 程序以及面向上层用户简单易用的调用接口。 4)、通过大量实验测试分析影响加速器性能的各种因素,得到加速器的性能、能耗趋势,使用测试数据集与CPU、GPU平台进行性能、功率、能耗等参数对比,分析FPGA实现的优劣性。

2018-06-25

内存及其与CPU连接

内存及其与CPU连接,很经典,主要例子举的非常通俗易懂。

2017-12-03

笔试面试精华题目(修改版)

笔试面试精华题目。主要是硬件工程师笔试和面试过程中常见的题目,命中率百分之80左右。

2017-12-01

开源处理器OpenMIPS模块连接关系图

开源处理器OpenMIPS模块连接关系图,使用VISIO绘制,十分详细,学习OpenMIPS必备利器

2017-11-07

基于状态机的简易RISC CPU设计

基于状态机的简易RISC CPU设计,包括夏宇闻老师Verilog数字系统设计中的文档说明和源码,很详细哟

2017-11-01

稳压二极管的使用

稳压二极管的使用

2017-10-24

差分阻抗的定义及由来

差分阻抗的定义及由来,包括两个文档,一个是英文原版的文档,一个是翻译好的文档。PS:7z的压缩包,最好用7z压缩软件进行解压。

2017-10-17

DDR3相关知识

DDR3相关知识,包括基本知识及和DDR2的对比,文档格式还没调整好

2017-10-17

AMBA-AXI总线详解

AMBA-AXI总线详解,里面介绍了AXI总线的接口标准和协议标准,还有一些时序的介绍

2017-10-17

传输线端接

传输线端接,介绍几种常见的端接方式,介绍这几种方式的优缺点

2017-09-28

三极管相关知识点

三极管相关知识点,包括二极管基础知识,三极管基础知识

2017-09-27

NRF24L01板载PCB天线设计

NRF24L01板载PCB天线设计,包括官方的文档,相关PCB设计说明。还有自己的原理图和PCB源文件,可以直接添加到自己PCB上使用。

2017-09-24

自制2.4G全向天线效果不错

自制2.4G全向天线效果不错

2017-09-24

电源完整性分析(于争博士)

电源完整性分析(于争博士) 里面通俗易懂的讲解了电源完整性的问题,并没有太多数学计算的东西,举例也很简单。

2017-09-20

OpenMIPS_VHDL_practice_v1.0

OpenMIPS_VHDL_practice_v1.0

2017-09-13

OpenMIPS快速入门手册(实践版)

OpenMIPS快速入门手册(实践版)主要包含相关技术的规范,以及OpenMIPS引脚的定义与使用 OpenMIPS快速入门手册(实践版)

2017-09-11

2口手动KVM原理图.pdf

参考原理图

2021-08-02

智能无线电技术.pdf

智能无线电技术

2021-04-11

AXI_Stream_TEST.7z

请先看https://suisuisi.blog.csdn.net/article/details/109455845 后在下载,谢谢

2020-11-02

IMX214_RegisterMap_2.0.0

IMX214_RegisterMap_2.0.0

2019-04-01

数据传输中耦合电容的选择与应用

数据传输中耦合电容的选择与应用 从理论上进行分析在告诉传输链路中AC耦合电容的作用和选择相关理论

2019-03-28

FPGA知识图谱-PDF格式

请各位 先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下眼在下载

2019-03-11

FPGA知识图谱-SVG格式

请各位大哥先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下再下载

2019-03-11

DesignCon2018信号完整性宝典

DesignCon2018信号完整性宝典

2019-02-12

门磁开关磁接近开关原理

门磁开关磁接近开关原理 包括干簧管和简单的基于干簧管的门磁报警器原理

2018-11-14

System Generator实现CORDIC算法工程文件

System Generator实现CORDIC算法工程文件 详细内容,请参照博客:https://blog.csdn.net/Pieces_thinking/article/details/83745331 请看完之后再下载

2018-11-05

基于FPGA的CORDIC算法实现-Vivado Verilog

基于FPGA的CORDIC算法实现-Vivado2018开发环境, Verilog语言编写,带TB文件,已经在ModelSim中仿真通过。

2018-11-01

ModelSim SE 10.4安装破解教程

ModelSim SE 10.4安装破解教程

2018-10-31

CORDIC算法

CORDIC算法的资源 包括Xilinx出的关于算法的介绍和相关的仿真和Verilog代码,还有本人收集的关于CORDIC算法写的比较好的中文书的一节

2018-10-29

时钟信号设计

时钟信号设计 包括布局设计和布线设计 比较简单的规则,适合新手

2018-10-24

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0 这是一款相对完善的视频图像处理的开发板配套的资源,详细的配套光盘,我会在我博客里放出来:基于FPGA的图像边缘检测系统

2018-10-18

PLL 锁相环基本原理

PLL 锁相环基本原理,ADI官方MT-086锁相环(PLL)基本原理,中文版,写的非常详细,有利于理解相关理论。

2018-10-10

CORDIC配套实例

黑金CORDIC教程,配套实例,工程实例,带仿真文件,可以直接用,具体教程,请搜索我的资源

2018-09-26

CORDIC算法介绍及实例

黑金出的CORDIC算法介绍及实例,内容从浅到深,实例完美,需要具体实例的在我的资源里找

2018-09-26

LogicLock技术资料

LogicLock技术资料 包括:Verilog语言与FPGA数字逻辑设计-TimeQuest-LogicLock-design-Partition应用.pptx和第5章--LogicLock优化技术.ppt 资料也是比较老的,如果不是需求特别大的,不建议下载

2018-09-11

Tcl_Tk入门经典(第2版)

Tcl/Tk入门经典》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。《Tcl/Tk入门经典》的第I部分首先介绍了Tcl语言的基本概念和基础知识。第II部分集中介绍如何使用Tk工具集开发图形用户界面。第Ⅲ部分讲解了如何结合Tcl和C语言进行程序开发。 《Tcl/Tk入门经典》原第一作者是Tcl的创造者,所以本书内容覆盖了Tcl语言的主要方面,且示例程序丰富,大部分示例代码可在Tcl安装目录的demos目录中找到。《Tcl/Tk入门经典》适用于Tcl语言的初学者,也适用于希望了解Tcl 8.5版和Tk 8.5版新特性的读者。

2018-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除