- 博客(0)
- 资源 (6)
空空如也
cpld开发VHDL和verilog简单例子程序(2)
包含beep CPLD_LED I2C_CPLD lcd_1602 serial PS2 seg7 VGA的VHDL和verilog相关例子工程
2011-04-26
cpld开发VHDL和verilog简单例子程序(1)
包含beep CPLD_LED I2C_CPLD lcd_1602 serial PS2 seg7 VGA的VHDL和verilog相关例子工程
2011-04-26
cpld开发VHDL和verilog简单例子程序
包含beep CPLD_LED I2C_CPLD lcd_1602 serial PS2 seg7 VGA的VHDL和verilog相关例子工程
2011-04-26
vhdl串并转换代码
实体定义如下:
entity p_s2m_onechnl is
port(
reset : in std_logic;
fck32m : in std_logic;
clk32m : in std_logic;
out2mhw_p : in std_logic_vector(7 downto 0);
out2mhw_s : out std_logic
);
end p_s2m_onechnl;
2011-04-26
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人