自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

pang9998的博客

Enjoy writing, enjoy life, welcome to pang9998's blog.

  • 博客(354)
  • 资源 (64)
  • 收藏
  • 关注

原创 求圆上某点旋转一定弧度之后的新坐标

这里写自定义目录标题参考文献问题描述解题方法参考文献转动任意弧度,求坐标.问题描述已知坐标轴和圆心坐标C(c1,c2c_1,c_2c1​,c2​),半径r,点A的坐标A(x1,x2x_1,x_2x1​,x2​),旋转弧度θ,求旋转之后的新坐标B。解题方法解决这个问题的基本思路其实就是通过圆心坐标加上一定的xxx、yyy方向的偏移(bbb、aaa)来得到对应圆上的坐标。过点B向CA做垂...

2020-04-10 13:37:10 3983 7

原创 欧拉乘积公式的推导过程

欧拉乘积公式的推导过程关于欧拉乘积公式的由来证明思路关于欧拉乘积公式的由来我们知道,早在古希腊时期,欧几里得(Eucl id)就用精彩的反证法证明了素数有无穷多个。随着数论研究的深入,人们很自然地对素数在自然数集上的分布产生了越来越浓厚的兴趣。1737年,著名瑞士数学家欧拉(Leonhard Euler,1707—1783)在俄国圣彼得堡科学院(St.Petersburg Academy)发...

2019-07-17 01:11:21 14529

原创 磁盘数据线接触不良的故障排查

一开始以为是磁盘坏了,换了块磁盘发现故障依旧,把内存插槽、磁盘插槽清理了一下发现故障依旧。看到磁盘线sata接口有点氧化发霉的现象就突发奇想,会不会是磁盘sata数据线的问题呢?换了一根线竟然好了,再也没出现过故障。1)只读文件系统(Read only file system)随便执行一个命令,比如ls就报这个错误。尝试对磁盘写入的时候可能出现这个错误。3)输入输出错误(IO error)2)总线错误(bus error)

2022-11-23 21:20:04 3110 1

原创 SpringBoot slf4j的yaml日志配置不生效

后来发现,重命名logback-spring.xml就ok了,应该是框架自动读取该文件了!

2022-11-19 21:17:46 3278

原创 诺基亚NoKia 8250维修笔记

终于启动成功,也算是满足了笔者的怀旧情怀吧,这里简单记录一下,不禁感叹科技的进步之快!因为电池温度脚没有太大的用处所以后来生产的诺基亚手机都把那个脚给省略了.用电源表的负极同时夹上电池脚的负极和检测脚就可以开机了,否则开不了机的。手机里面有一个业门检测的线路,如果没有检测到的话是开不了机的.诺基亚的手机用电源表开机的话,一定要把检测脚夹上才能开机.你可用电源表的正极夹上电池脚的正极。

2022-11-06 16:48:08 3003

原创 CentOS无法从外网访问,只能从本地localhost访问

不行再试试关闭防火墙,注意,CentOS7以上才能使用systemctl,以下可以通过iptables关闭。

2022-10-15 22:39:23 221

原创 CentOS安装jdk

【代码】CentOS安装jdk。

2022-10-15 22:25:29 237

原创 MultipartFile.transferTo接收不到文件

MultipartFile.transferTo接收不到文件,关键是也没报错!MultipartFile是按照绝对路径写文件的,所以改成下面的。或者下面(不推荐,可能OOM)

2022-10-15 09:49:36 929

原创 CentOS Linux音频相关

最近在CentOS下捣鼓Linux音频相关操作,这里记录一下。

2022-10-12 12:11:38 1049

原创 Linux裸机的折腾笔记

无线网卡主要是wlan1,配置的时候需要跟热点同一网段,这里我也是设置静态ip,GATEWAY就填热点的ip就行。因为我的硬盘在两台机器之间移来移去,所以eth、wlan分别有两组,分别是0、1,0是原机器,1是我的笔记本,所以配置好eth1、wlan1后copy一份改改就成了eth0、wlan0了。手头正好有一台Linux裸机,无任何外设, 打算折腾成一台网盘或者ftp服务器,在后台默默发光发热,折腾过程中遇到了很多坑,所以记录一下,其他的Linux裸机都可以按照同样的思路折腾。

2022-10-11 12:19:01 422

原创 Centos6升级GCC到4.7

用gcc4.4.7编译的时候提示不支持c11,于是只能升级到4.7,(增加了55M,其实是不想升级的)。具体程序文件位置在:/opt/centos/devtoolset-1.1/root/usr/bin/*使用最新的gcc环境,所以要替换本地的,使用软连接来完成(也可以设置环境变量,看自己喜好)安装文件放置位置在:/opt/centos/devtoolset-1.1。

2022-10-10 22:35:23 691

原创 CentOS报错:removing mirrorlist with no valid mirrors: /var/cache/yum/i386/6/base/mirrorlist.txt

第三步,curl -k -o /etc/yum.repos.d/CentOS-Base.repo https://www.xmpan.com/Centos-6-Vault-Aliyun.repo。不加-k可能访问https会报错。第二步,备份原文件mv /etc/yum.repos.d/CentOS-Base.repo /etc/yum.repos.d/CentOS-Base.repo.bak。然后就可以愉快地安装gcc了!

2022-10-10 21:59:33 632 1

原创 CentOS安装Webmin/WebAdmin管理工具

只封80端口:iptables -I INPUT -p tcp –dport 80 -s 124.115.0.0/24 -j DROP。输入命令:iptables -I INPUT -p TCP --dport 10000 -j ACCEPT。开放指定的端口:iptables -A INPUT -p tcp --dport 80 -j ACCEPT。禁止指定的端口:iptables -A INPUT -p tcp --dport 80 -j DROP。加入方法:进入/etc/sysconfig/目录。

2022-10-05 12:53:52 1272

原创 Android 手机开启全局调试

而除了自己开发的apk能够控制打包属性之外,其他的程序发行之后显然不会设这个值为 true 的(不然随随便便就能被Debug ,岂不是很没安全感 )。为了调试这些第三方的apk,我们可以从整个手机系统入手 —— 因为除了每个apk中的 debuggable 标志以外,这个标志还可以在系统中全局指定,换句话说,只要把系统里的 debuggable 值设为true,那么不管apk的这个属性是什么值 都可以被调试了。其实可以修改boot.img,重新刷到手机上!

2022-09-06 23:44:47 889

原创 handleLoadPackage不生效(xposed)

可能是eclipse引用XposedBridgeAPI引入方式有问题,应该不需要把api编译进去(xposed框架已经包含),于是根据参考文献,在工程目录下建立lib文件夹(不是libs!),然后右键jar包,add to build path即可!总结:xposed框架日志对于模块开发十分重要,能看到一些很有用的报错信息,另外,XposedBridge.log()也能输出日志到框架的日志界面,便于调试。

2022-09-06 23:24:19 1232

原创 Android Camera参数

我们都知道,android是开源生态,这样造成很多设备硬件差异很大,比如照相机Camera,不同厂商可能支持不同的参数设置,如果参数设置不当有可能就Exception了,那么有没有什么方法知道相机支持哪些参数呢?答案是有的,如下代码:然后设置参数即可...

2022-06-26 12:47:30 1293

原创 OnGlobalLayoutListener 无限循环调用onGlobalLayout的问题

在一个Android项目中需要用到OnGlobalLayoutListener去监听软键盘是否弹出,然后动态更新布局,在使用过程中发现无限循环调用onGlobalLayout()方法,应该比较影响性能,一开始以为是OnGlobalLayoutListener本身设计如此。后来一琢磨发现果然有猫腻,因为我在onGlobalLayout中动态更新view,是不是又触发了onGlobalLayout,由此陷入无限循环,严重影响性能。后来多方尝试,加了个标志位做控制就成功解决了这个问题。 private cl

2022-04-29 19:16:12 2073

原创 Android FloatingActionButton show()或者hide()不正常

笔者在一个项目用到了android.support.design.widget.FloatingActionButton,support包版本是com.android.support:design:27+发现有时候调用fab.show(),根本显示不出来。解决思路:1、确认该fab没有被其他控件遮挡。2、show/hide需要配合setVisibility使用(具体原因未知)。 private void fabHide() { if (this.fab !=

2022-04-04 21:16:42 2531

原创 Android桌面长按快捷方式(shortcut)不显示

好久没有写写文章了,今天登录了一下,看到不少老铁还是一如既往地支持和关注,让我倍感欣慰,自从参加工作以后,就很少能有时间写写东西了,人在江湖,身不由己,风里雨里,不过是为了碎银几两。。。。。话不多说,咱言归正传,我们知道,Android7.1以后有个长按弹出快捷方式的shortcut操作,可以直接点击到对应的Activity,效果如下:但我试了一下,发现怎么长按都死活不显示,而在我手机上其他app是可以显示的。找了很多资料,发现是没配置在Main和LAUNCHER的Activity中,于是改成.

2022-03-31 09:52:51 3901

原创 Android解决DrawerLayout嵌套RecyclerView滑动冲突

做了个app,界面布局如下图在DrawerLayout的左边侧滑菜单中嵌套了一个recyclerview,drawerlayout可以左右侧滑,起到菜单拉开关闭的效果,recyclerview可以上下滑动,因此容易引起滑动冲突的现象,正常操作还好,没看出什么不好的影响,但是上下快速滑动recyclerview的时候就很容易莫名其妙触发抽屉菜单关闭了。网上找了很多资料,最后发现重写DrawerLayout后效果完美,毫无违和感,代码如下:package com.pang.note.ui...

2021-09-10 22:33:55 1187

原创 收音机调谐拉线维修

好久没更新博客了,因为实在是太忙啦~刚忙完搬家的事情,今天正好有空,就给大家来点干货。 事情是这样的,笔者手头有一个袖珍机械调谐收音机,型号为德生R1012,FM/MW/SW1-8/TV 12Bands收音机,虽然是袖珍收音机,功能非常强大,可谓浓缩才是精华!!!当时笔者可是思前想后、前前后后比较了多款品牌收音机充分考虑了便携性、功能多少、性价比等多方面的因素最终才下定决心买这款收音机。 因为使用时间比较长机械调谐系统感觉不太灵活,需要上点润滑油,于...

2021-08-28 23:13:22 3667 1

原创 如何定位弹出窗口的进程(程序)

操作环境(红色粗字体字为修改后内容,蓝色粗体字为特别注意内容)1,操作系统:win7 32bit.2,参考文献:1)https://blog.csdn.net/u013608482/article/details/108101805,2)https://docs.microsoft.com/zh-cn/sysinternals/downloads/process-explorer如今,使用电脑上网的时候,经常遇到广告插件,对于这些插件一般做法是:定位进程-》定位可执行文件位置-》删掉,即可去掉广告插件

2021-02-17 15:20:29 5919 1

原创 液晶显示器亮一会(几秒钟)后黑屏【维修笔记】

操作环境(红色粗字体字为修改后内容,蓝色粗体字为特别注意内容)1,显示器:方正显示器2,参考文献:https://zhidao.baidu.com/question/1434829226418985499.html前两天,突然发现我的老方正显示器工作异常,故障描述如下:方正显示器,连接屏幕之后亮一会,大概几秒钟后黑屏,重新连接之后又亮一会就熄灭。网上查找资料,说是显示器高压保护电路动作了,而我的老显示器由于使用时间太长,可能导致灯管老化了,所以引起保护电路工作,手头没有显示器灯管,于是捉摸着能

2020-07-05 12:53:16 8398 1

原创 2440ARMLinux无法解析域名

参考文献:①http://www.360doc.com/content/14/1216/16/18578054_433393555.shtml,②https://www.codeweavers.com/support/wiki/diag/missinglibnss_mdns,④https://blog.csdn.net/sws9999/article/details/70188922440的板子可以ping通IP但是无法ping通域名,提示ping:bad address[root@UnixHos

2020-06-05 09:57:22 730

原创 Linux下面删除路由的方法

一开始使用如下命令:[root@UnixHost ]# route delete default gw 192.168.137.255 eth0route: SIOCDELRT: No such process之后使用route del -net 192.168.137.9 netmask 255.255.255.0 dev eth0发现提示route: netmask and route address conflict可能是命令参数有误,匹配不到路由,于是输入route命...

2020-06-05 09:27:08 8368

原创 2440移植Mplayer详细过程(最简便的方法)以及报错解决

错误一:vo_ivtv.c: In function 'ivtv_reset':vo_ivtv.c:79: error: storage size of 'sd' isn't knownvo_ivtv.c:80: error: storage size of 'sd1' isn't knownvo_ivtv.c:84: error: 'IVTV_STOP_FL_HIDE_FRAME' undeclared (first use in this function)vo_ivtv.c:84: err

2020-06-04 17:36:43 1065

原创 tar打包带软连接(相对路径)

Linux中有很多地方用到软连接,比如lib库文件,通常会创建xxx.so.1之类的软连接文件,但是涉及到交叉编译的时候,需要将软连接一起拷贝到目标机器,如果一个个文件复制到目标机器,然后再建立软连接就会变得非常麻烦,那么如何在使用tar打包的时候连软连接一起打包进去呢?其实用两条简单的命令就能够实现我们的目的。这里笔者以交叉编译curl为例,目标及其是ARM平台,需要将依赖库libcurl打包到目标机器。打包前的目录如下所示可以看到,libcurl.so和libcurl.so.4都连接到了li

2020-06-04 09:44:27 8067

原创 Linux Kill命令结束含关键字的进程

在Linux下面是用Kill命令的时候,一般需要先ps一下查看进程PID,之后记住该PID,再使用kill命令结束掉,这样比较麻烦,那么有没有简便的方法来实现结束掉含某关键字的进程呢?在万能的Linux世界,一切皆有可能!原理其实很简单,就是自动调用ps命令然后用grep命令搜索关键行,之后用awk命令提取出pid并作为参数传给kill命令。下面咱们来看看具体操作,其实就一行代码kill -9 $(ps -ef|grep keyword|grep -v grep|awk '{print ...

2020-06-04 08:23:21 1806

原创 2440 ARM开发板移植Motion网络监控系统

移植环境(红色粗字体字为修改后内容,蓝色粗体字为特别注意内容)1,开发板:韦东山JZ24402,linux 版本:linux-3.4.23,系统版本:Ubuntu9.104,交叉编译环境:arm-linux-gcc-4.4.35,参考文献:https://blog.csdn.net/kangear/article/details/8763790Motion这个工具非常适合用来做一般的嵌入式移动监控,为什么呢?主要有以下几点:1、依赖很少,编译过程一般不会出现什么问题。2、兼容性好,基本原

2020-06-02 18:39:20 320

原创 EasyUI中使用easyui-dialog加载iframe【推荐】

为了解决这个问题,尝试很多操作方式,发现都没能用iframe成功加载其他html页面,最终找到如下的实现方式,亲测可用~先来看看html代码 <div id="dlgVideo" class="easyui-dialog" style="width: 450px; height: 350px; padding: 10px 20px" closed="true" buttons="#dlg-video-buttons"> <iframe scrolling="auto"

2020-05-29 10:31:53 723

原创 【推荐】真正的安卓网络摄像机(Android IPCamera)任意浏览器输入IP地址即可观看视频

笔者打算寻找一款好用的安卓IPCamera,发现很多软件都需要安装特殊的监控端软件或者VLC播放器来接收RSTP视频流,根本没法直接在浏览器中直接观看视频。多方寻找无果,笔者遂决定自己开发一款IPCamera网络摄像机程序,以实现任意浏览器通用播放的效果,有图有真相,下面先来看看效果。远程浏览器下面来看看手机端效果完美,还可以设置图像质量、图像尺寸等视频参数!!!更重要的是能够在任意浏览器跨平台运行!!!辛苦创作不易,喜欢的朋友请点赞关注一下,我是pang9998,蟹蟹~.

2020-05-26 10:24:26 5157 7

原创 VS1003/VS1053无法读到0x807F/0x83FF调试笔记[推荐]

硬件:vs1053 mp3模块、stm32f103c8t6单片机一个项目中需要用到vs1053来播放mp3格式的音频,遂在某宝上溜了一圈,发现vs1053好贵!基本都在70RMB左右!于是看看有没有便宜点的,最近手头比较紧。翻了一下还真有!35RMB一块的!!于是赶紧入手一块,于是一场心酸的调试例程就此展开。。。。咱先来说说vs1053的引脚吧,如下图所示模块分为数据线和控制线,控制线主要是spi通信方式,数据线引脚主要有//spi sck PA5//spi miso ...

2020-05-23 22:52:54 1720 5

原创 论文三线表中表头添加横线间隔线

在写论文的时候我们可能需要用到三线表,然后需要在表头绘制间隔线,就像下面这样关于这个效果,相信网上有很多类似的解决方案,但都不够优雅,如手动绘制横线、内嵌单元格、双线表格等等。其实正确的打开方式应该是在需要间隔的地方插入列,然后缩小列宽即可,最后再去掉某些框线。下面跟着笔者一步一步来操作吧~Step1,平均分布各列,然后记下列宽(为最后统一调整列宽),然后在间隔线的地方插入空白列。Step2,缩小空白列的距离有时候我们发现,列宽只能调到一定的宽度,再缩小就无法缩小了,这时候怎..

2020-05-13 00:26:06 10864 1

原创 Word表格内容居中(终极解决方案)

很多情况下,我们需要在word中将表格内容居中放置,就像下面这样那么该如何达到这样的效果呢?下面一步步跟着笔者操作:Step1:选中表格,右键选择表格属性,在“表格”标签以及“单元格”标签都选择居中Step2:如果以上操作无法居中,则全选表格,下拉“段落”的小三角需要进行以下段落设置:这下就居中了如果以上设置不奏效,可以试试以下方法:全选表格,依次选择,表格选项-单元格-选项,改一下单元格边距...

2020-05-13 00:00:35 6784 1

原创 visio图片插入word,Acrobat导出pdf格式错乱

将Visio图片插入word文档之中,然后Acrobat生成pdf文件,发现文字位置错误,如下图word中原图是这样的:其实,一般来说,类似的问题可以参考我的前一篇博客的介绍,解决word中visio图转化成pdf后文字变大、错位、不能识别(不是矢量图),奇怪的是这次出现的问题按照之前的方法修改,一点变化没有!难道是之前的方法失效了?注意到文字方向有问题,字从下到上颠倒了!对了,难道是文字方向的问题???百思不得其解之际,突然在visio中注意到一个特殊的地方:看来这是.

2020-05-12 13:00:29 2294

原创 ESP8266/ESP01的坑:AT+CIPSEND=0,3000 too long ERROR

用esp8266发送图片数据报错:AT+CIPSEND=0,3000too longERROR我靠,这不坑爹吗!看来只能分包发送了,发送18000(18k)的图片都报错!实测发现该模块最大只支持2000字符长度左右(英文字符)。

2020-05-10 12:46:29 5196 2

原创 OV2640拍摄jpg图像无法解析

在一个项目中,用STM32F103RCT6驱动OV2640拍摄JPG图片,发现得到的图片在电脑上无法解析,如下图所示:用二进制编辑器查看图片二进制数据如下:竟然连jpg文件头都没有!图片能显示才怪!在确认程序没有问题的情况下(同样的程序之前成功的,见我的其他博客:STM32F103C8T6驱动ov2640拍照串口传输到上位机),摄像头损坏的可能性不大,没发现什么异常,而且不大可能买来就是坏的!怀疑接线有问题,我的接线方式如下:接线方式 OV2640 STM32 ..

2020-05-10 12:16:14 4660 2

原创 ESP8266/ESP01 Server模式中TCP Client无法接收到数据

硬件环境:ESP01/ESP8266,Windows7,网络调试助手,串口调试助手。先设置ESP8266的服务器模式AT+CIPMUX=1AT+CIPSERVER=1,8080然后在电脑连接ESP的热点,电脑处于客户端。在串口助手发送测试字符串。发现从Esp端的串口发送数据,远程主机的tcp client接收不到。于是试试从tcpclient发送数据,esp串口有东西出来。经查找资料发现,串口发送数据的方式不对,应该使用AT+CIPSEND=<link id&gt

2020-05-10 08:33:21 3336 3

原创 STM32型号和容量对应关系

一般来说,STM32的型号是这样表示的STM32F103XYxxx这XY是什么意思呢?其实啊,这个X表示引脚数量,具体的值有如下形式:R=64PINV=100PINZ=144PINY表示FLASH容量大小,具体有如下取值:4 = 16K字节知的闪存存储器道6 = 32K字节的闪存存储器8 = 64K字节的闪存存储器B = 128K字节的闪存版存储器C = 256K字...

2020-04-29 21:16:05 4620

原创 Android setText(Html.fromHtml(String)无效的问题

我们都知道Android的TextView设置文字内容比较单调,配置麻烦,很多时候我们需要改动TextView中部分文字的颜色、大小等属性非常麻烦,甚至不得不分为几个TextView。其实有另外一种非常方便的修改方式,那就是Android setText(Html.fromHtml(String),String为html源代码,比如下面的textview.setText(Html....

2020-04-20 11:49:19 4005 1

数字信号处理(海因斯)

经典基础教材,数字信号处理,海因斯著,带书签,全美经典教材

2019-01-08

STM32 UCGUI示例KEIL工程,已经移植好,修改LCD驱动就能使用

STM32的UCGUI(µCGUI)KEil工程,库很全,已经移植好,下载下来,更换LCD驱动的画点函数就能使用,非常方便。说明文档见https://mp.csdn.net/postedit/85840541

2019-01-06

uC-GUI-V3-98-完整源码未删减

uC-GUI-V3-98-完整源码未删减版本,内容很全面。文件目录列表 ─uC-GUI ├─Doc ├─Sample │ ├─Application │ │ ├─Dashboard │ │ ├─NEC_BuildingManagem │ │ └─NEC_Pingpong │ ├─GUI │ │ ├─VSCREEN_MultiPage │ │ └─WIDGET_Checkbox │ ├─GUIDemo │ ├─GUI_X │ ├─LCDConf │ │ ├─LCD0323 │ │ ├─LCD07X1 │ │ ├─LCD1200 │ │ ├─LCD13701 │ │ ├─LCD1611 │ │ ├─LCD161620 │ │ ├─LCD1781 │ │ ├─LCD501 │ │ ├─LCD6331 │ │ ├─LCD66750 │ │ ├─LCD667XX │ │ ├─LCDColorOnMono │ │ ├─LCDFujitsu │ │ ├─LCDLin │ │ ├─LCDLin32 │ │ ├─LCDMem │ │ ├─LCDMemC │ │ ├─LCDPage1bpp │ │ ├─LCDPage4bpp │ │ ├─LCDSLin │ │ ├─LCDVesa │ │ └─LCDXylon │ ├─LCD_X │ └─MakeLib │ ├─8051_Keil │ ├─ARM_GNU │ ├─ARM_IAR │ ├─M16C_NC30 │ ├─M16C_TASKING │ ├─M32C_NC308 │ ├─MC80_IAR │ ├─MSP430_IAR │ ├─V850_GHS │ ├─WIN32_MSVC60 │ ├─WIN32_WATCOM │ └─X86_WC16 ├─Start │ ├─Application │ ├─Config │ ├─GUI │ │ ├─AntiAlias │ │ ├─ConvertColor │ │ ├─ConvertMono │ │ ├─Core │ │ ├─Font │ │ ├─LCDDriver │ │ ├─MemDev │ │ ├─MultiLayer │ │ ├─Widget │ │ └─WM │ └─System │ └─Simulation │ ├─Res │ ├─SIM_GUI │ │ └─Branding │ └─WinMain └─Tool

2019-01-06

STM32F103C8T6+1.44寸ST7735TFT LCD彩屏驱动程序

资源是STM32F103C8T6的1.44寸ST7735芯片SPI驱动的TFT液晶屏驱动程序完整KEIL工程,需要的朋友可以下载,改个IO口就能够使用,非常方便!说明文档连接为:https://blog.csdn.net/pang9998/article/details/85837421

2019-01-05

最全的Visio形状/图形库

从不同地方搜集整理出来的Viso图标,全部文件都是Visio图形库的标准格式:VSS格式,内含有51个文件,分别对应类别。内容比较全面,包括常用图表、服务器图、各种符号图表、工作流程形状、绘制图表形状、网络和外设图、网络位置及物理逻辑图、最常使用的图表、办公室设备图及办公室附属设施图等等。

2019-01-02

CCS811气体传感器+STM32F103C8T6的Keil工程下载

CCS811气体传感器+STM32F103C8T6的Keil工程,辛苦调试了两天,已经调试通过,确保100%正常,稳定性好,详情请访问https://mp.csdn.net/postedit/85484584

2019-01-01

Microwave Engineering,D.M.Polar,4th

微波工程经典教材,Microwave Engineering,D.M.Polar,4th PDF高清版本,里面的文字可复制,不是简单的扫描版,目录已经编号,绝对完美。简介:Microwave engineering pertains to the study and design of microwave circuits, components, and systems. Fundamental principles are applied to analysis, design and measurement techniques in this field. The short wavelengths involved distinguish this discipline from Electronic engineering. This is because there are different interactions with circuits, transmissions and propagation characteristics at microwave frequencies.

2018-12-31

红米2/红米手机2电路原理图PDF

红米2手机电路原理图/红米手机2电路原理图,pdf版本,非常详尽,仅供维修使用。

2018-12-19

红米手机PCB+原理图PADS5.9工程

红米手机PCB+PADS原理图,作为维修参考,切勿用于非法用途!

2018-12-19

LDPC编码解码FPGA Verilog+MATLAB

FPGA Verilog硬件实现的LDPC编码解码, 资源中附带Verilog源代码以及附带MATLAB仿真源程序,欢迎下载, 谢谢使用~~~

2018-12-04

《预测控制的理论与方法》丁宝苍著

《预测控制的理论与方法》丁宝苍,机械工业出版社,是一本预测控制的经典书, 书中介绍了大量的预测控制应用场景,理论结合实际,能够帮助初学者快速理解。 本书共分为共9章,227页 详细章节如下。 第一章 系统、模型与预测控制 第二章 模型算法控制 第三章 动态矩阵控制 第四章 广义预测控制 第五章 两步法预测控制 第六章 预测控制综合方法概略 第七章 状态反馈预测控制综合 第八章 有限切换时域的预测控制综合 第九章 预测控制综合的开环优化与闭环优化 第十章 输出反馈预测控制综合

2018-11-29

MATLAB SIMULINK建模与仿真实例精讲-张德丰(pdf+程序).zip

本资源是MATLAB/SIMULINK建模与仿真实例精讲pdf+配套程序,是2010年由机械工业出版社出版的图书,作者是张德丰等,资源是本人辛苦整理,pdf中一共9章已经编好书签浏览很方便,程序也已经分章节整理好,特分享给需要的人,为国家科研做贡献。 《MATLAB/Simulink建模与仿真实例精讲》可作为广大在校本科生和研究生的学习用书,也可以作为广大科研人员、学者、工程技术人员的参考用书。全书共分9章。第1章介绍了MATLAB及Simulink仿真基础知识,包括MATLAB简介、MATLAB R2009的基本操作、仿真的一般过程与步骤等内容;第2章介绍了MATLAB的文件结构及其绘图介绍,包括MATLAB的程序结构、M文件和基本图形绘制等内容;第3章介绍了Simulink仿真基础,包括Simulink操作概述、Simulink模块处理分析、系统的仿真等内容;第4章介绍了Simulink建模与仿真高级应用,包括Simulink模块子系统和S-函数建模与仿真等内容;第5章介绍了Simulink在控制系统中的应用,包括连续时间系统建模与仿真分析、离散系统建模与仿真分析等内容;第6章介绍了Simulink在电力系统的建模与仿真的应用,包括电力系统的模型分析、交直流调速系统的仿真分析等内容;第7章介绍了神经网络的仿真与分析,包括神经网络仿真的概述、Simulink神经网络仿真 示例等内容;第8章介绍了模糊逻辑控制的仿真分析,包括模糊逻辑控制概述、模糊逻辑控制的仿真分析应用示例等内容;第9章介绍了Simulink建模与仿真在通信系统中的应用,包括通信系统仿真的方法介绍、及MATLAB/Simulink在通信系统中的应用等内容。

2018-11-24

Matlab R2012b完整版下载

在使用matlab的时候,发现没有Control System toolbox,因而无法使用nyquist、tf、bode等等函数。于是输入ver命令发现才装了30多个工具箱,正常情况下应该有70多个工具箱的。原因就是matlab没装全或者安装文件不是完整版的安装文件。本资源提供完整版Matlab下载链接(百度云),文件大小约5GB。喜欢数学的朋友,欢迎下载~

2018-11-20

Saleae逻辑分析仪上位机软件

本资源包含Saleae SX24M8逻辑分析仪上位机软件,包括Saleae原版程序(v1.0.21、v1.1.15、v1.1.16、v1.2.18)以及sigrok的pulseview-0.4.1程序具体内容如下: ├─sigrok │ DOC.docx │ pulseview-0.4.1-32bit-static-release-installer.exe │ zadig-2.3.exe │ ├─v1.0.21 │ Logic Setup.exe │ ├─逻辑分析仪应用软件v 1.1.16 │ Logic Setup 1.1.16 (32-bit).exe │ Logic Setup 1.1.16 (64-bit).exe │ 逻辑分析仪应用手册--分析单片机、ARM、FPGA利器.pdf │ ├─逻辑分析仪软件v1.1.15(附使用手册) │ Logic Setup 1.1.15 (32-bit).exe │ Logic Setup 1.1.15 (64-bit).exe │ Saleae逻辑分析仪使用手册-分析红外、IIC、UART通信.pdf │ └─逻辑分析仪软件v1.2.18 Logic Setup 1.2.18.exe

2018-11-18

FPGA Verilog 串口收发+流水灯程序

FPGA Verilog 串口收发+流水灯程序,能够实现FPGA串口收发、自收自发、收到什么发什么的功能,调试的时候通过电脑端串口助手发送数据,FPGA可以接收并且转发到电脑端。本程序以最简单原始的方式实现串口通信,程序简洁粗暴,工作状态很稳定,误码率为0。同时集成了流水灯模块,串口空闲的时候,LED动态流水,串口工作的时候,LED闪烁。打包的是整个FPGA Quartus II 工程,仿真脚本已经写好了,程序注释很到位,逻辑清晰明了,非常适合初学者用来作为第一个HelloWorld程序学习,希望能够帮助更多的FPGA爱好者进去FPGA神奇的天地。 Pang 敬上。 2018.11

2018-11-13

SCI论文检索及下载全攻略-老司机珍藏版.pdf

SCI论文检索及下载详细攻略,文中详细介绍了通过Web of science检索SCI文献以及通过一些途径下载论文的方法,非常适合科研小白使用,特分享给需要的朋友。

2018-11-06

《符号计算系统Mathematica教程》-张韵华

张韵华的《符号计算系统Mathematica教程》,pdf版本,一个很不错的mathematica教程,从启动到建立第一个文件再到高级教程,洋洋300多页里面讲解的很详细,非常适合初学者使用。

2018-11-02

TSP城市问题145个城市数据及其相应的最优解

最全的TSP(旅行商)145个问题的测试数据及最优解,可以用于测试算法的优劣及效率等,效果很好,里面的数据是官方提供的,未经任何改动,最近也在学习人工智能算法,特共享出来分享分享。TSP问题研究必备,欢迎下载使用~~~~~

2018-09-12

最优控制理论与系统-胡寿松-第二版【pdf高清版+完整目录和书签】

最优控制理论(optimal control theory),是现代控制理论的一个主要分支,着重于研究使控制系统的性能指标实现最优化的基本条件和综合方法。最优控制理论是研究和解决从一切可能的控制方案中寻找最优解的一门学科。它是现代控制理论的重要组成部分。这方面的开创性工作主要是由贝尔曼(R.E.Bellman)提出的动态规划和庞特里亚金等人提出的最大值原理。这方面的先期工作应该追溯到维纳(N.Wiener)等人奠基的控制论(Cybernetics)。1948年维纳发表了题为《控制论—关于动物和机器中控制与通讯的科学》的论文,第一次科学的提出了信息、反馈和控制的概念,为最优控制理论的诞生和发展奠定了基础。 本书《最优控制理论与系统》——胡寿松【PDF高清版+完整目录和书签】全书共分10章。第2~4章介绍变分法、极小值原理和动态规划的基本内容、方法及应用;第5、6章对状态调节器、输出调节器以及跟踪系统进行了较为深入的讨论;第7~9章介绍了最优控制理论中较为新颖的分支——鲁棒最优控制、奇异最优控制以及随机最优控制;第10章介绍各种典型的实用最优控制系统。

2018-08-31

解决MATLab2012b Symbolic_Toolbox License 许可证无效的问题

解决MATLab Symbolic_Toolbox License 许可证无效的问题。我分享的上一个license中没有包含该license,这个license明显大很多,应该是完整的 Error using sym License checkout failed. License Manager Error -5 Cannot find a license for Symbolic_Toolbox. Troubleshoot this issue by visiting: http://www.mathworks.com/support/lme/R2012b/5 Diagnostic Information: Feature: Symbolic_Toolbox License path: C:\Users\Administrator\AppData\Roaming\MathWorks\MATLAB\R2012b_licenses;E:\1_Program_File\Matlab\licenses\license.dat;E:\1_Program_File\Matlab\licenses\*.lic Licensing error: -5,357. Error in syms (line 66) assignin('caller',x,sym(x)); Error in Test (line 2) syms x 使用方法,将本文件解压缩,到MATLAB安装路径/license/下替换掉license.dat即可,本许可证仅供学习交流,切勿用于非法用途,所产生后果由使用者自负。

2018-08-23

CircleProgress.zip

效果完美的Android圆形进度条,类似仪表盘的原理,具体描述参见个人博客:https://blog.csdn.net/pang9998/article/details/105432376

2020-04-10

bsdiff.zip

bsdiff,用于生成Android增量更新的差分文件,运行在电脑端,速度比较快,使用方式:bsdiff old.apk new.apk,非常方便,已经编译成单文件了,非常好用,32/64位系统均适用。

2020-01-12

基于12C5A60S2的舵机驱动程序,模拟数字舵机通用

基于12C5A60S2的舵机驱动程序,模拟数字舵机通用,改个IO口就能用,pwm频率精准20ms,不敢独享,特拿出来与大家一起分享,详情请参考博客:https://blog.csdn.net/pang9998/article/details/103200666

2019-11-22

OFDM的MIMO无线通信Maltalb完整仿真代码

OFDM的MIMO无线通信Maltalb完整仿真代码,包含整个通信系统,最后还有误码率等性能分析。效果不错,能够完整分析整个OFDM MIMO通信系统的基本流程。

2019-11-22

OFDM_System.zip

基于Matlab的完整的OFDM通信系统的仿真设计,内容主要包括编码,IFFT,调制,上下变频,高斯信道建模,FFT,PAPR抑制,同步,解调和解码等模块,并仿真验证了通信系统的可靠性。

2019-11-22

LDPC-STBC-Matlab.zip

文件中是LDPC空时分组码的Matlab实现,效果不错,终于做出来了!空时分组码(STBC)是一种在无线通信中使用的技术,用于在多个天线上发送数据流的多个副本,并利用各种接收的数据版本来提高数据传输的可靠性。 传输信号必须穿过具有散射,反射,折射等的潜在困难环境,然后可能被接收器中的热噪声进一步破坏,这意味着一些接收到的数据副本将比其他更好。 这种冗余导致能够使用一个或多个接收到的副本来正确解码接收信号的机会更高。 实际上,空时编码以最佳方式组合所接收信号的所有副本,以尽可能多地从每个副本中提取信息。

2019-11-22

libsvm-3.11-matlab-bin.7z

Matlab编译好的库,下载下来,扔到matlab安装目录下面的tools文件夹下, 1、将libsvm-3.11文件夹复制到matlab/tools下面 2、打开matlab->add path->add to subpath,将libsvm/matlab加入路径即可。更多详情请参考:https://blog.csdn.net/pang9998/article/details/99617880

2019-08-15

grub4dos-file.zip

Windows下使用Grub4dos无损(无需格式化)制作Windows/Linux双引导U盘并引导U盘中的ISO镜像,无需格式化,绿色无公害。使用教程请参考压缩包里面的教程,以及博客https://blog.csdn.net/pang9998/article/details/99288675

2019-08-12

HelloChartDemo.zip

Android图表绘制框架HelloChart的官方Demo使用例程,由于官方的工程版本太久,移植起来非常麻烦,于是笔者耗费心血对工程进行版本升级,欢迎大家下载使用,详情见:https://blog.csdn.net/pang9998/article/details/97310576

2019-07-25

java-json-lib-jdk13.zip

Java Json jdk 完整依赖包(json包及其依赖包都在里面了),再也不用为jdk无法处理json格式数据烦恼了~~~

2019-05-19

28BYJ48-Motor多种控制方式

28BYJ48步进电机控制程序,绝对可用,代码能够非常清晰的反映该步进电机的工作原理,驱动程序中提供了多种工作模式比如:单4拍顺时针、单4拍逆时针、 双4拍顺时针、 双4拍逆时针、单双8拍顺时针、单双8拍逆时针,以及多种操作函数比如:转n圈的函数、精确转动指定角度的函数等等,非常有用!参考链接:https://blog.csdn.net/pang9998/article/details/89477267

2019-04-23

gbk2uni.zip

latex直接处理中文书签会生成乱码。经此工具预处理处理,可以正确生成中文书签。本方法能够实现编译自动化,一次配置以后都无需再进行配置,完全自动化,帮助您解决烦恼的pdf书签乱码问题 详细教程请参考:https://blog.csdn.net/pang9998/article/details/88090767

2019-03-03

大家来学latex简体中文版(word版本)

非常经典的latex教材,书中一共12章节,介绍的非常详尽,特别适合初学者学习。TEX 是Donald E. Knuth 教授的精心杰作,它是个功能非常强大的幕后排版系统,含有弹性很大,而且很低阶的排版语言。当初,是因为Knuth 教授在写他的大着TAOCP(The Art of Computer Programming) 时,发觉书商把他书中的数学式子排得太难看了,于是决定自行开发一个非常适合排数学式子的排版语言,这就是TEX系统的来由。

2019-03-03

org.ucdetector_1.10.0

ucdetector eclipse 代码优化插件最新版,帮助查找工程中的无用代码,用于整理臃肿的工程代码。使用教程:https://blog.csdn.net/pang9998/article/details/87890904

2019-02-23

STM32+VS1053 MP3实例代码,输出正弦波测试

STM32+VS1053测试工程,内含VS1053驱动程序,工程非常简洁,代码解释非常到位,SPI驱动,输出正弦波,亲测可用。另外附带电路图,特献给需要的朋友。

2019-01-27

EP2C5T144C8的FPGA的最小系统板的AD(Altium Designer)PCB文件

EP2C5T144C8的FPGA的最小系统板PCB文件,芯片为暴风2系列的EP2C5T144C8,文件为pcbdoc文件,原件布局非常紧凑,可以直接发到工厂开版!亲测可用!使用AD(Altium Designer)/DXP很方便打开。

2019-01-11

EP2C5T144C8的AD(Altium Designer)原理图文件

EP2C5T144C8的FPGA的最小系统板原理图,芯片为暴风2系列的EP2C5T144C8,文件为schdoc文件,使用AD(Altium Designer)/DXP很方便打开。

2019-01-11

EP2C5T114C8-FPGA最小系统核心板

EP2C5T144C8的FPGA的最小系统板,芯片为暴风2系列的EP2C5T144C8,非常好用,按照原理图可以更加深刻的理解FPGA最小系统的原理,甚至还能自己做一个最小系统,亲测好用,特奉献给需要的朋友。

2019-01-11

Digital Image Processing 2rd Gonzales R.E(数字图像处理.冈萨雷斯.中文pdf)

Digital Image Processing 2rd Gonzales R.E(数字图像处理.冈萨雷斯.中文pdf)非扫描高清版,国内外经典教材,教育部教育司推荐,已经标好标签,推荐结合英文版使用,翻译的很nice,很native!

2019-01-07

Digital Image Processing 3rd Gonzales R.E(数字图像处理.冈萨雷斯.英文原版pdf)

Digital Image Processing 3rd Gonzales R.E数字图像处理.冈萨雷斯.英文原版, 带书签高清pdf版,英文原版,一共976页,本书是国内外的经典教材,质量很高,必备的科研利器,你值得拥有!

2019-01-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除