自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (2)
  • 收藏
  • 关注

空空如也

stm32秒表程序

自己写的stm32秒表程序,计数,最大计数值300s,最小计数单位0.1s,可暂停,加减。 按键功能:key1控制开始及暂停,key2控制加,key3控制减,key4选择位。 对应的io口,PA0-7接数码管,PB5-8接按键,PB12-14接3-8译码器。不要嫌5分多,我是花了时间的,几乎每个函数都有标注,只要你懂程序,绝对看的懂,你有想法可以在此基础上设置硬件,加强程序。

2018-12-13

STM32C8T6流水灯程序

使用stm32c8t6实现的来回流动的流水灯程序,所用3.5库函数,代码清晰,每一个函数都有详细的说明,注释也十分清楚。拿来就可以使用。

2018-08-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除