自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(122)
  • 收藏
  • 关注

原创 文件批量操作记录

3、将tc_s_*文件中的“u_t_sel = 2'b01”替换成“u_t_sel = 2'b10”4、chatgpt nb...两个小时的工作量,缩短为5分钟。1、批量复制文件,tc_r_* 批量复制为对应的tc_s_*在命令行中有特殊含义,所以在替换字符串中,我们需要使用。2、将tc_s_*文件中的“50A”替换成“25A”

2023-08-12 17:53:26 266

原创 fsdb DUMP的操作记录

fsdb dump

2023-01-17 11:28:41 613 1

转载 【转载】verdi方法fsdbreport将fsdb的某个信号值抽出为可读文件 及波形转换,合并,修改工具

1.fsdbreport可以将fsdb的某个信号值抽出该功能在波形比较的时候有大用。举例:这个命令是将psel==1&penable==1&pwrite==0&pready==1时对应的prdata数据写到tmp_prdata.txt文件中,可以不加-exp条件,直接写出prdata数据,注意这个数据只在跳变的时候写出 -w 32是指定prdata的位宽-bt :begin time-et:end time以上可以设置dump的开始结束时间,有时我们只需要某个时

2021-12-16 15:07:32 4207

转载 【转载】Verilog +: -:语法

“+:”、"-:"语法看到这个语法的时候是在分析AXI lite 总线源码时碰见的,然后查阅了资料,做出如下解释。1.用处这两个应该算是运算符,运用在多位的变量中,如下:slv_reg0[(byte_index8) +: 8] <= S_AXI_WDATA[(byte_index8) +: 8];2."+:"变量[起始地址 +: 数据位宽] <–等价于–> 变量[(起始地址+数据位宽-1):起始地址]data[0 +: 8] <--等价于--> data[.

2021-06-08 09:15:29 562

原创 sv部分总结

1、相比verilog,sv新引入了logic数据类型。sv侧重验证,节省考虑verilog其他细分变量的精力。2、bit类型仅表示0和1,为二值逻辑。logic类型可以表示0、1、z、x,为四值逻辑。3、四值逻辑类型:integer、logic、reg、net-type。二值逻辑类型:byte、shortint、int、longint、bit。4、有符号类型:byte、shortint、int、longint、integer。无符号类型:bit、logic、reg、net-type。5、定

2021-04-06 22:10:50 1045

转载 【转载】vim搜索设置高亮和取消高亮

vim搜索设置高亮linux vim打开文档搜索字符串时,设置被搜索到字符串高亮显示。有两种方法:1、临时设置:vim打开文档–>命令行形式输入:set hlsearch。缺点:关闭文档后,下次打开,又需要重新设置一遍。2、永久设置(推荐):在~/.vimrc中配制vim ~/.vimrc在文件中加上set hlsearch然后保存退出便可。优点:一次设置,永久生效。vim取消搜索高亮:set nohlsearch或者简写的:noh...

2021-03-10 11:21:51 746

原创 octave在线版求解rs译码生成多项式系数

octave在线版:https://octave-online.net/求解rs(255,239)译码生成多项式系数,输入命令:pkg load communicationsrsgenpoly(255,239,[],0)结果如下:ans =GF(2^8) array. Primitive Polynomial = D^8+D^4+D^3+D^2+1 (decimal 285)Array elements = Columns 1 through 13: 1

2021-01-19 19:27:35 1687 7

转载 【转载】64B/66B编码技术

博客首发地址:个人博客网站 http://fairycity.wang,内容以个人博客为主,修正后的博文见个人博客,请点击访问。摘要:64B/66B编码技术是IEEE 802.3工作组为10G以太网提出的,目的是减少编码开销,降低硬件的复杂性,并作为8B/10B编码的另一种选择,以支持新的程序和数据。在本篇文章中,我将从它的提出背景、编码格式、编码原理、和8B/10B比较它的优缺点等方面和大家分享一下,在学习过程中有关64B/66B编码的心得笔记。关键字:8B/10B,64B/66B,编码,扰.

2021-01-19 10:27:52 1436

转载 【转载】System_Verilog打印格式

system_verilog display format1. 简介$display 和 $write的区别: $display系的系统函数:会在输出的末尾自动添加换行符(newline character); $write系的系统函数:光标会停留在输出的末尾,不会自动换行。 $display 和 $write相同之处: 按照参数列表的顺序输出参数; 参数可以是引号内的字符串(quoted string literal),表达式(expression) 和数值(value);

2020-12-28 18:55:14 4124

原创 vim行删除

1、删除行dd //也是剪贴行2、删除5到10行:5,10d3、删除第5行到结尾:5,$d

2020-12-28 10:48:39 187

转载 【转载】vim中的align插件

原文:vim 101 hacksHack 47. Align the Variable Assignmentalign下载:Align.vba.gzhttp://www.vim.org/scripts/script.php?script_id=294安装: vimAlign.vba.gz: so %: q$a = 1;$a_very_long_variable_name_value = 1;: '<,'>Align =$a = 1;$a_...

2020-12-26 14:48:40 418

转载 【转载】VIM之高亮光标所在的行列

设置高亮行和列。set cursorcolumnset cursorline

2020-12-23 16:32:08 1377

原创 使用 Vim tabular 对代码进行排版对齐

Vim 有个很好用的插件 tabular 可以非常高效地对代码进行排版。例如,按 => 进行对齐。选中代码块,输入:'<,'>Tab /=>需要提前安装好tabular插件:https://github.com/godlygeek/tabular

2020-12-21 10:17:29 444

转载 【转载】p_sequencer与m_sequecer用法梳理

1.m_sequencer定义在uvm_sequence_item中定义了protected变量m_sequencer,它的类型是uvm_sequencer_base;我们常用的uvm_sequence继承于uvm_sequence_item,那么所有的sequence都是可以看到m_sequencer的.2.m_sequencer获取通常有两种方法启动sequence,一种是通过uvm_config_db设置default sequence来实现.在环境中会自动get来获取对应的参数.获取成功之后,通

2020-12-18 10:22:53 1740 1

转载 【转载】SystemVerilog中virtual关键字常见用法

在验证工作中经常使用"virtual"关键字,下面列举该关键字应用场景。主要应用场景在virtual class,virtual interface 以及 virtual task/function。 OOP三大特性(封装,继承,多态)中的多态在SystemVerilog中一般通过 “virtual” 关键字实现。 通过virtual声明的类,接口,任务与函数,其本身自带一些方法或者函数。后续的例化或者扩展可以对原有的内容进行增加或者修改,从而实现同一函数不同方法的多种形态。 1. ..

2020-12-16 11:56:06 782

转载 【转载】VCS 编译仿真方法总结

VCS/VCSMX 一般仿真步骤VCS仿真可以分成两步法或三步法, 对Mix language, 必须用三步法。仿真前要配置好synopsys_sim.setup文件,里边有lib mapping等信息。设置环境变量'setenv SYNOPSYS_SIM_SETUP /xxx/xxx/synopsys_sim.setup'. VCS对应的waveform工具有DVE和Verdi, DVE因为是原生的,所以VCS对DVE非常友好。但DVE已经过时了,其对uvm等新feature支持的不好。Verdi是D

2020-12-14 22:24:19 3305

转载 【转载】IC-工具篇--VCS使用教程

文章目录0.引言 1.知识要求 2.VCS simulation basics (基础操作) 3、Compile-time option exambles(VCS可选项的介绍) 4、VCS Simulation Command Format(simv的可选项) 5. Using DesignWare Library with VCS(使用新思科技的库) 5.实际操作 5.1 case1-加法器 5.111 增量编译 -Mupdate 5.112 日志文件输出-l +文件

2020-12-14 22:23:13 4413

转载 【转载】有限域的构造之常见本原多项式

2020-12-14 22:21:06 1334

转载 【转载】 UVM时间打印格式--timeformat

在UVM验证环境中通过添加打印信息来辅助定位问题,默认情况下,打印出来的时间信息是以fs、精度是0位的格式打印的.而目前的仿真环境大多以ns的仿真级别,为了方便我们定位问题,可以通过timeformat来修改打印的格式。timeformat的用法如下:第一个参数设置时间单位:unit_number;第二个参数设置进度单位,即时间小数点后面几位有效;第三个参数为打印时间的填充如ns、us等;第4个参数设置最小的数据宽度.设置$timeformat(-9,3,“ns”,10),其含义是时间单位是1ns,小数

2020-12-14 22:20:16 1782

转载 【转载】systemverilog 在class中使用force

1.直接force某个值,比如0/1/a之类的,可以在class中直接force2.force某个变量的值,比如 force dut.timer=timer, 如果timer是一个动态变量的话,编译会报错“ Class data is not allowed in non-procedural context.”,简单的解法是把timer定义成static类型3.如果2中不能简单定义成static类型的话,可以借用interface/bind module来实现,具体是在interface中增加tr

2020-12-14 22:19:10 2256

原创 FEC介绍(五)— RS编解码学习路径总结

前提:因项目需要,需要用代码实现RS编解码过程。在基本上算是小白的情况下,按照如下路径学习之后,用代码实现了RS编解码过程。需要说明的是,这个学习路径仅针对工程技术人员。1、链接:https://www.jianshu.com/p/6157e120ef99通过这个链接,可以了解为什么要有fec,fec大致是如何实现的。简单的说,fec即为纠错的一种方式,通过特定的算法将k个数据包编程成h个,实现有限个数的纠错。本质上就是异或处理。2、链接①:https://zhuanlan.zhihu.com/

2020-12-14 21:56:25 2802

转载 【转载】verilog中$readmemb和$readmemh的使用

readmemb和readmemh用来从文件中读取数据到存储器中。读取的内容只包括:空白位置(空格、换行、制表格(tab和form-feeds),注释行、二进制或十六进制的数字。数字中不能包含位宽说明和格式说明,其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。数字中不定值x或X,高阻值z或Z,和下划线(_)的使用方法和代表意义与一般Verilog HDL程序中的用法一致。在Verilog语法中,一共有以下六种用法:(1)$readmemb("&lt

2020-12-14 09:11:39 2120

原创 linux安装软件命令总结

1、sudo:普通用户以root身份运行命令2、yum:yum是安装rpm程序包的工具。yum install [-y] [rpm包名] //安装一个rpm包yum remove [-y] [rpm包名] //卸载一个rpm包yum update [-y] [rpm包名] //升级一个rpm包①yum是管理软件安装、卸载、升级的命令工具,本身不是安装软件包的命令。②加上 -y 之后,安装过程中就不会总询问你要不要yes,他会自动的同意,也就不需要再确认。3、apt-get..

2020-11-10 09:42:27 8088

转载 【转载】秦九韶算法 Horner算法

秦九韶算法是中国南宋时期的数学家秦九韶提出的一种多项式简化算法。在西方被称作霍纳算法(Horner algorithm或Horner scheme),是以英国数学家威廉·乔治·霍纳命名的.  把一个n次多项式f(x)=a[n]x^n+a[n-1]x^(n-1)+......+a[1]x+a[0]改写成如下形式:  f(x)=a[n]x^n+a[n-1]x^(n-1))+......+a[1]x+a[0]  =(a[n]x^(n-1)+a[n-1]x^(n-2)+......+a[1])x+a[0] 

2020-11-07 10:36:10 994

转载 FEC介绍(四)—RS(544,514)编解码过程【转载】

https://zhuanlan.zhihu.com/p/103888948?utm_source=wechat_session

2020-11-04 16:52:17 4342

转载 【转载】PLL的作用,如何通过PLL实现CDR

PLL是phase locked loop的缩写,中文译作锁相环。按照架构来分可分为模拟,数字,数模混合型锁相环。按照环路传函可分为一阶,二阶,三阶,高阶锁相环。按照其他分类方式可以分为整数型PLL和小数型PLL,电荷泵型和非电荷泵型PLL,LC VCO(压控振荡器)和ring-VCO 锁相环,等等。PLL的作用主要有频率合成和CDR(时钟数据恢复)。频率合成是指PLL反馈时钟和输入参考时钟锁定,由于在反馈回来中加入了分频电路,所以VCO可以产生是输入参考时钟倍数的时钟。分频电路如果是整数分频,VCO的.

2020-11-02 14:29:28 1824

原创 lsf和bsub

1、lsf是分布式资源管理调度工具。安装了lsf的计算机组,可以统计调度,提高资源利用率。2、bsub是调用lsf的命令。3、命令格式:bsub[options]command[argument]4、常用参数。 -I 交互模式,输入输出交互显示在提交job的terminal上 -Ip 提交job的时候提供虚拟terminal的支持,如vim这种应用是需要terminal支持的 -q 选择队列 ...

2020-10-29 10:08:36 3296

转载 FEC介绍(三)—算法推导【转载】

基于IP的语音和视频通话业务为了实时性,一般都是采用UDP进行传输,基站无线一般配置UM模式的RLC承载,因此丢包是不可避免的,在小区信号的边沿则丢包率会更高;为了通话的实时性,一般不会采用接收端发现丢包了然后通知发送端重传的机制,因为这个在应用层的丢包检测和通知发送端重传是非常耗时的。引入前向纠错(FEC)机制是解决实时通话业务丢包的一个很好的机制,FEC的原理就是在发送端发送数据包时插入冗余包,这样即使接收端收到的数据有所丢包(丢包数不大于冗余包时)也是能还原出所有的数据包的。本文介绍FEC算法的原理,

2020-10-27 20:04:03 1180

原创 FEC介绍(二)-详解RS编码

如下,是对参考链接文章的简化。参考链接:https://www.cnblogs.com/swordc007/p/9151205.html一、纠错原理1、对数据进行结构化处理,处理后的数据即使部分损坏,也能通过修复结构,补齐缺失的数据、修改错误的数据。在数学上,这个结构是通过伽罗瓦域的多项式实现的。2、关于这个结构和修复,参考链接的文章,给出了一个类比。(1)现有一简单词典,仅有this、that、corn。如果接收到一个损坏的词co**(包含于词典中),通过查词典可知,缺失的是rn,

2020-10-27 16:42:18 9104

原创 FEC介绍(一)

1、FEC是什么。FEC,forward error correction,前向纠错。2、FEC用来做什么。网络数据传输时,难免出现数据包丢失等问题。数据传输出现丢包时,常用的传输方式有2种:丢包重传 和 前向纠错(FEC)。丢包重传是接收方发现数据包有丢失,请求发送方重新发包。帧头信息中的sequence number,正常状态下,该序列号是连续的。接收方根据该序列号判断是否丢包。可以看出,丢包重传是接收方和发送方本身有几次交互。FEC通过另外一种方式处理丢包。发送方在发送数据时,在每

2020-10-26 14:13:02 18651

转载 ASDL、以太网、光钎的关系与区别

根据我所知道的回答一下这个问题。光纤、以太网、ADSL三种接入网方式,都走过了一段历史。ADSLADSL,中文非对称数字用户环路,说白了,就是利用电话线路传输宽带信号。ADSL将语音信号和宽带上网的信号均通过电话线传输,然后通过一个称为分离器的东西,将语音信号和宽带上网信号分开。ADSL最好支持上行1M、下行8M的的宽带。ADSL技术成熟,通过PPPoE进行用户认证,在运营商端有个叫做BARS的大型交换机完成用户的接入认证。下图显示了ADSL的原理LAN以太网以太网.

2020-10-23 17:16:18 4866

转载 【转载】光纤上网究竟是如何实现的?

首先,我们每个人家里都会有一个弱电箱。弱电箱里,会有一根光纤。光纤连着我们常说的“光猫”。这个光猫,学名叫作ONT,光网络终端(Optical Network Terminal),也被叫作光纤用户接入设备。我们都会买一个无线路由器(也就是Wi-Fi路由器)。路由器连接光猫,然后拨号访问互联网。无线路由器再把有线信号变成无线信号,分享Wi-Fi信号给家里的无线设备,例如手机、电脑、ipad等。弱电箱里面的...

2020-10-21 09:54:19 674

转载 【转载】PON简史

大家好,我是小枣君。之前有不少同学问EPON、GPON、10G PON、XG-PON、NG-PON2到底是什么关系。今天通过这篇文章,我详细给大家介绍一下。 01. EPON和GPON 故事要从PON的起源开始说起。上世纪80年代,计算机和多媒体技术崛起,越来越多的人开始拥有电脑,也开始接触网络(局域网或互联网)。于是,数据通信业务开始兴起,上网需求出现。早期的时候,运营商能提供的上网服务,基本上都是通过电话线、双绞线(网线)、同轴电缆这样的铜制线缆。技术包括ADSL(非...

2020-10-21 09:51:46 593

原创 Linux—svn相关问题与处理方法

1、svn上传时报“containing working copy admin area is missing”,解决办法如下。svn rm --keep-local folder_namesvn add folder_name就是把之间的关联信息删除,重新关联。参考链接:https://stackoverflow.com/questions/5115526/path-svn-containing-working-copy-admin-area-is-missing-error2、删除原

2020-10-19 14:42:45 229

转载 【转载】Vim快速移动光标至行首和行尾 、第一行和最后一行

Vim快速移动光标至文件的第一行和最后一行:1. vi 编辑器中跳到文件的第一行:   a 输入 :0 或者 :1 回车   b 键盘按下 小写 gg2.vi 编辑器跳到文件最后一行:   a 输入 :$ 回车   b 键盘按下大写 G   c 键盘按 shift + g (其实和第二种方法一样)Vim快速移动光标至行首和行尾: 1、 快速将光标移动至当前行的行首: a 用键盘上的编辑键Home b ...

2020-10-12 15:33:27 2925

转载 【转载】如何理解补码

计算机中的符号数有三种表示方法,即原码、反码和补码。  在计算机系统中,数值一律用补码来表示和存储。原因在于,使用补码,可以将符号位和数值域统一处理;  在理解补码的之前,得先了解另外一个概念:补数;以十进制为例,不考虑负数,1位十进制数能表示的最大的数是9,最小是0。由于进位的原因,0-1=0+9会得到9。9是-1以10为模的补数,目前来看,这东西根本没啥用!!没错,对人来说确实没啥用,但对计算机来说不一样,在计算机中所有的信息都是以二进制来表示的,所有的信息都用0和1表示,专门留出1个位表示正负

2020-10-09 14:40:26 174

转载 【转载】搜索 Linux 中的文件和文件夹的四种简单方法

inux 管理员一天都不能离开搜索文件,因为这是他们的日常活动。了解一些搜索的东西是不错的,因为这能帮助你在命令行服务器中工作。这些命令记忆起来不复杂,因为它们使用的是标准语法。可以通过四个 Linux 命令啦执行此操作,每个命令都有自己独特的功能。方法 1:使用 find 命令在 Linux 中搜索文件和文件夹find命令被广泛使用,并且是在 Linux 中搜索文件和文件夹的著名命令。它搜索当前目录中的给定文件,并根据搜索条件递归遍历其子目录。它允许用户根据大小、名称、所有者、组、类型、.

2020-10-09 14:19:10 2205

转载 【转载】Linux查看文件大小5个常用命令

1. 前言Linux 系统有非常好用的命令,功能也非常丰富,如果你对命令行工具熟悉,可以非常高效率完成维护工具。本文主要介绍Linux系统中,用于查看文件大小的命令。Linux 查看文件大小5个常用命令2. 使用stat命令查看stat命令一般用于查看文件的状态信息。stat命令的输出信息比ls命令的输出信息要更详细。oucanrong@zcwyou:~/iso$stat~/iso/CentOS-6.10-x86_64-minimal.iso文件:/home/oucanrong...

2020-10-09 14:01:29 2325

原创 systemverilog——256位位宽赋值全1

两种方法①拼接bit [255:0] a;a = {256{1'b1}};②赋值0取反bit [255:0] a;bit [255:0] b;b = 'h0;a = ~b;参考链接:http://bbs.eetop.cn/thread-453585-1-1.html

2020-09-11 11:08:36 3163

转载 【转载】Verilog中Dump函数及用法

Verilog提供一系列系统任务用于记录信号值变化,常见的格式有vcd,fsdb等。1,Dump VCD格式$dumpfile("file. dump"); 打开一个VCD数据库用于记录$dumpvars(level,start_module); 要记录的信号,level=0表示记录所有$dumpflush; 将VCD数据保存到磁盘 不明白$dumpoff; 停止记录$dumpon; 重新开始记录$dumplimit(); 限制VCD文件的大小(以字节为单位)$dumpall; 记录所

2020-08-07 10:18:51 2399

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除