自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(98)
  • 资源 (3)
  • 收藏
  • 关注

原创 计算机算术3-整数加减法(前缀加法器)

加法器设计

2021-12-05 16:13:34 2383 1

原创 计算机算术2-整数加减法(通用)

1. 一位全加器输入:cin, A, B输出:co sum真值表逻辑表达式sum = cin ^ A ^ Bco = A&B | A&Cin | B&Cin注意:sum的时延几乎是carray时延的两倍电路图因此全加器的输出需要2、3级门延迟2. 多位全加器2.1 串行进位加法器把多个全加器串链起来就形成了串行进位加法器,串行进位加法器将低位全加器的进位输出Cout作为本级全加器的进位输入Cin,因此在计算最高位的进位输出时(进位输出当作两级门延时),有3

2021-11-30 22:11:40 1005 1

原创 计算机算术1 - 数据表示

文章目录1. 原码2. 反码(1's com)3. 补码(2's com)4. 相关问题4.1 有符号数二进制转十进制4.2 为什么要用补码4.2 表示一个数减14.3 表示两个数相差为11. 原码原码就是符号位加上数的绝对值,即用第一位表示符号位,其余表示数值以8bit数据为例,表示的范围是[-127, 127]2. 反码(1’s com)正数的反码:是其本身;负数的反码:符号位不变,其余位取反。3. 补码(2’s com)正数的补码:是其本身负数的补码:在其原码的基础上,符号位不变,其

2021-11-21 21:34:21 398

原创 IC常用知识12-FPGA资源小结

文章目录1. 可编程输入输出单元 (IOB)2. 可配置逻辑块(CLB)3. 数字时钟管理模块(DCM)4. 存储器资源5. 丰富的布线资源6. 底层内嵌功能单元结合Xilinx Altera等公司的FPGA芯片,简要罗列一下FPGA内部的资源或者专用模块,并简要说明这写资源的一些作用或用途。1. 可编程输入输出单元 (IOB)可编程输入输出单元简称I/O单元,是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配要求。外部输入信号可以通过IOB模块的存储单元输入到FPGA的内部

2021-04-20 15:16:46 703

原创 IC常用知识11-ASIC和FPGA设计流程

文章目录1. FPGA的基本开发流程?2. IC设计的基本流程1. FPGA的基本开发流程?总共分为5步系统规划:系统功能和模块规划RTL设计:用Verilog SV, VHDL描述功能仿真:理想情况下的仿真时序仿真:时序分析和约束板级验证2. IC设计的基本流程设计spec- RLT代码设计-动态仿真-逻辑综合-形式验证-DFT-版图规划-时钟树综合-布线-静态时序分析-DRC-LVS-后仿真-Tape-out;设计specRLT代码设计动态仿真逻辑综合(logic syn

2021-04-20 15:02:01 769

原创 IC常用知识8-glitch free 电路小结

文章目录1. 产生毛刺的原因2. 针对两个同步时钟源的切换3. 针对两个异步时钟源的切换1. 产生毛刺的原因时钟在另一个时钟为高电平的时候进行切换才会导致毛刺的现象,因此我们需要采用下降沿采样的方式来阻止这种情况2. 针对两个同步时钟源的切换通过下降沿触发器进行采样,然后与时钟信号相与,目前没有好的理解方式,只能强记。reg out1;reg out0; always @(negedge clk1 or negedge rst_n)begin if(rst_

2021-04-17 16:59:39 1732

原创 IC常用知识7-门控时钟小结

1. 简介门控时钟是降低动态功耗的常用手段2. 门控时钟电路2.1 不含触发器的门控时钟电路[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-fVyAYCPk-1618555294344)(https://upload-images.jianshu.io/upload_images/9246563-899919ef34c5adcb.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/1240)]缺点:如果使能信号过早无效,

2021-04-16 14:42:21 536

原创 IC常用知识6-信号跨时钟同步

文章目录1. 单bit信号跨时钟域传输1.1 电平同步器1.2 边沿检测器1.3 脉冲同步器1.4 电平延展-快到慢2. 多bit信号跨时钟域传输2.1. 握手2.2. 异步FIFO2.3 使用异步双口RAM2.4 DMUX3. 相关题目3.1 设计一个脉冲检测器3.2 介绍一下各种同步设计的优缺点3.3相关选择题1. 单bit信号跨时钟域传输按照信号用途将单bit跨时钟同步器分为:电平同步器,边沿检测器和脉冲同步器。小结:单bit信号传输我们要考虑它的实际用途,比如是串行数据信号,那么我们就需要

2021-04-16 10:16:03 6231 1

原创 IC常用知识4-静态功耗和动态功耗

文章目录1. 简介2. 静态功耗3. 动态功耗3.1 开关功耗3.2 短路功耗4. 低功耗设计4.1 RTL级4.2 门级电路5. 相关题目:1. 简介CMOS电路功耗主要由动态功耗和静态功耗组成,动态功耗又分为开关功耗、短路功耗两部分2. 静态功耗静态功耗也称为待机功耗,包含有电路中晶体管的漏电流所导致的功耗3. 动态功耗3.1 开关功耗动态功耗包括:开关功耗或称为反转功耗、短路功耗或者称为内部功耗;开关功耗:电路在开关过程中对输出节点的负载电容充放电所消耗的功耗。比如对于下面的CMOS非

2021-04-15 11:26:57 27612 3

原创 IC基础知识12-知识小结

2021-04-07 20:24:20 355

原创 IC基础知识11-时序逻辑电路

目录1. 简介2. 分类3. 时序电路分析流程4. 时序电路分析举例5. 时序电路设计6. 时序电路设计举例-序列检测器1. 简介时序逻辑电路指电路的输入不仅与输入有关,还与当前电路的状态有关,一般由组合逻辑电路和存储电路构成,并时序逻辑电路中存在反馈。2. 分类时序逻辑电路分为同步时序电路和异步时序电路,其中同步时序电路指的是电路中所有的触发器共用一个时钟源。3. 时序电路分析流程根据电路图确定输出方程各触发器的驱动方程将驱动方程代入相应触发器的特性方程,求出状态方程根据状态方程,列出

2021-04-07 16:58:57 1409

原创 IC基础知识10-锁存器和触发器

目录1. 简介2. 锁存器2.1 SR锁存器2.2 特性表和特性方程2.3 由与非门构建的RS锁存器2.4 门控SR锁存器2.5 门控D锁存器3. 触发器3.1 主从D触发器3.2 特性表和特性方程3.3 维持阻塞D触发器3.4 JK触发器3.5 T触发器4. 锁存器和触发器的区别5. 建立时间和保持时间1. 简介锁存器和触发器统称为双稳态电路,它们具有存储数据的功能,是构成各种时序电路的基本单元2. 锁存器2.1 SR锁存器当S=0,R=0时,电路状态不变,故电路具有记忆功能当S=1,R=

2021-04-07 15:41:14 2242

原创 IC基础知识9-组合逻辑电路

目录1. 简介2. 分析组合逻辑电路3. 设计组合逻辑电路4. 组合逻辑电路中的竞争冒险5. 常见逻辑电路1. 简介组合逻辑电路的功能特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。2. 分析组合逻辑电路根据组合逻辑电路得到逻辑表达式化简逻辑表达式画出真值表确定逻辑功能3. 设计组合逻辑电路确定输入输出画出真值表通过卡诺图化简得到最简表达式画出逻辑电路4. 组合逻辑电路中的竞争冒险逻辑门电路的两个输入信号同时向相反的逻辑电平跳变,而跳变的时间有差异的现

2021-04-07 10:10:16 741

原创 IC基础知识8-逻辑代数

文章目录1. 逻辑代数常用公式2. 最小项3. 逻辑函数化简4. 化简多输出逻辑函数1. 逻辑代数常用公式其中较难的公式是第4条,只能强记2. 最小项最小项:一个逻辑函数可以用乘积项之和来表示。最小项的特点:3. 逻辑函数化简二变量卡诺图:三变量卡诺图:四变量卡诺图:卡诺图化简原则:同一个方格可以被不同的包围圈重复包围,但新增的包围圈中一定要有新的方格。包围圈内的方格要尽可能的多,包围圈的数目要尽可能的少。4. 化简多输出逻辑函数...

2021-04-06 20:54:24 339

IC基础知识7-数据选择器

@ [TCO] (目录)用数据选择器搭建门电路的一般步骤写出门电路的真值表根据真值表写出表达式根据表达式画出电路图其中选择器可以通过传输门搭建1. 非门Aout0110assign out = A ? 0 : 1;需要一个选择器,也可以直接用CMOS门电路搭建2. 与门ABout000010100111assign out = A ? B : 0;如果用与非+非门搭建,也需要6

2021-04-06 15:56:04 1993

原创 IC基础知识6-门电路

目录1. 非门2. 与非门3. 或非门4. 与门5. 或门6. 传输门7. 选择器8. 问题小结1. 非门NMOS和PMOS串联2. 与非门只有当两个输入为1时,输出为0,因此NMOS串联3. 或非门只有当两个输入为0时,输出才为1,因此PMOS串联4. 与门与非门+非门5. 或门或非门+非门6. 传输门NMOS和PMOS并联,低电平使能时实现双向传输,高电平,端口呈现高阻态。7. 选择器下图实现的选择器只需要6个MOS管,3个PMOS和3个NMOS而如果采用Out=

2021-04-06 11:38:23 5952

原创 IC基础知识5-版图识别

目录1. 区分N管和P管2. 识别接触孔3. 识别栅极4. 识别源极和漏极5. 识别串并联关系1. 区分N管和P管为了正常工作,CMOS芯片必须保证工作是衬底和管子形成的PN结反偏,因此P管需要接电源VDD, N管接低GND2. 识别接触孔版图中黑色的方框就是接触孔,一般只有源极或者漏极有。3. 识别栅极版图中竖着穿过P区和N区的长条结构就是栅极4. 识别源极和漏极一般NMOS接地端是源极,另一半是漏极;PMOS接电源端是源极,另一半是漏极。5. 识别串并联关系红框区域既是NM

2021-04-06 10:18:59 7470 2

原创 IC基础知识4-MOS管

1. 基础知识1.1 简介有结型场效应管(JFET),和金属-氧化物-半导体场效应管(MOSFET)以N沟道JFET为例:它在一块N型半导体材料两边高浓度扩散制造了两个重参杂P+区,形成两个PN结,两个P+区引出栅极G,两个PN结之间的N型半导体构成导电沟道,在N型半导体的两端分别引出源极S和漏极D.增强型NMOS管为例:它是利用半导体表面的电场效应进行工作的,由于栅极处于绝缘状态,因此也称绝缘栅场效应管,以P型硅片作为衬底,其上扩散两个重参杂的N+区,分别作为源区和漏区,在源区和漏区之间的衬底表

2021-04-02 15:29:06 1643 2

原创 IC基础知识3-输入阻抗和输出阻抗

1. 计算方法输入阻抗:通过在输入端加上一个电压源U,测试输入端的电流I,则输入阻抗Rin=U/I。输入阻抗越大越好,电阻越大,可以得到的分压越大,驱动能力越强。输入阻抗越大,相对应的电源内阻就会显得越小。输出阻抗:又称为内阻,不接负载的情况下测得输出端的电压U/I。因此Ro越小越好,因为内阻越小,自身损耗也就越小,带负载能力就越强。2. 计算举例如图所示为阻容耦合共射放大电路,取β = 100,rbe = 1k其交流等效模型:则电路放大倍数:信号源放大倍数:输入阻抗:Ri = Rb

2021-04-01 19:26:02 7999 1

原创 IC基础知识2-三极管

1. 简介三极管有两种:NPN管和PNP管,三个接口分别为基极(B)、发射极(E)、集电极©;有三种工作状态:截至状态、放大状态、饱和状态;截至状态:发射结反偏,集电结反偏 Vb<Vc, Vb<Ve (NPN)放大状态:发射结正偏,集电结反偏 Vb>Vc, Vb<Ve (NPN)饱和状态:发射结正偏,集电结正偏 Vb>Vc, Vb>Ve (NPN)放大状态的原理:NPN管的P管很薄,发射结正偏,导致N管的电子通过扩散作用到达P管,小部分中和了P管的空穴,形成基

2021-04-01 15:51:29 1637

原创 IC基础知识1-二极管

1. 简介二极管又称为PN管,由P型半导体和N型半导体结合而成。其中P型半导体通过在硅或者锗中添加3价硼元素得到,其多子为空穴;N型半导体添加5价磷元素得到,其多子为自由电子。之后,两边的多子向对方运动,但是P型的空穴扩散到N型区之后,本身会形成负离子,N型半导体的电子向P区扩散,会形成正离子,正负离子形成的内电场限制了多子的进一步扩散,这个电场构成的电压就是我们的导通电压。因为当内电场消失时,有利于扩散运动,此时的PN结非常的薄,形成了扩散电流,二极管导通。2. 应用稳压二极管:工作在反向击穿区

2021-03-31 16:51:59 1610

原创 总线通信协议-PCIe

文章目录1.简介1.1 总线特点1.2 总线接口1.3 拓扑模式2. 传输协议3. 小结1.简介采用串行同步全双工的传输方式,工作频率可以达到2.5GHz。1.1 总线特点代表着传统并行总线向高速串行总线发展的时代的到来为了兼容之前的PCI总线设备,虽然PCIe是一种串行总线,无法再物理层上兼容PCI总线,但是在软件层上面却是兼容PCI总线的和很多的串行总线一样,PCIe采用了全双工的传输设计,即允许在同一时刻,同时进行发送和接收数据。1.2 总线接口设备A和设备B之间通过双向的Link

2021-01-25 21:56:18 3398

原创 总线通信协议-PCI

文章目录1. 简介1.1 总线特点1.2 总线接口1.3 拓扑模式2. 传输协议2.1 传输模式2.2 时序图2.3 PCI-X总线基本概念2.4 PCI传输速率3. 小结1. 简介PCI(Peripheral Component Interconnect)总线协议由Intel在1992年提出,是一种局部并行总线,PCI常见的时钟频率为33MHz,32bit位宽,速率为133MB/s,作为扩展接口,主要用于外围设备的连接和扩展,是以前将声音,视频和网卡连接到主板的通用功能方法,但由于这些外设的速度越来越

2021-01-23 20:01:01 4427

原创 总线通信协议-USB

目录1. 简介1.1 总线特点1.2 总线接口1.3 拓扑结构1.4 传输模式2. 传输协议3. USB设备枚举4. 小结1. 简介USB,是英文 Universal Serial BUS (通用串行总线)的缩写,其中文简称“通串线”,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。1.1 总线特点即插即用 PnP(Plug and Play)(在计算机运行过程中随意地接入,并且立刻就能正常投入工作),支持热插拔(在系统不重启,不断电的情况下可以更换设备);传输速度快,在 USB1.0

2021-01-23 11:54:29 957

原创 总线通信协议-CAN

1. 简介CAN总线:控制器局域网络,Controller Aera Network,是为了实现设备间信息共享,解决布线多,布线难的问题。1.1. 总线特点串行,速度与传输距离相关,可调节1Mbps一种广播式,多主控的总线系统差分信号,抗干扰性强,速度可以调节,串行1.2 总线接口CANL:只有低电平和高阻位CANH:只有高电平和高阻位没有电平差时:隐性状态,用高电平表示有电平差时:显性状态,用低电平表示。因为显性状态表示优先级高,而在线与逻辑中,低电平的优先级高。1.3 工作模式

2021-01-20 21:11:20 2377

原创 总线通信协议-SPI

目录1. 简介1.1 总线特点1.2 总线接口:1.3 工作模式2. 传输协议2.1 时序图2.2 结构图2.3 状态图:2.4 代码实现3. 小结1. 简介SPI总线又称串行外围总线接口:serial peripheral interface。1.1 总线特点特点:全双工,串行,同步,高速接口 10Mbps。slave设备的CLK由master的SCK管脚提供,slave本身不能产生或控制clock.SPI总线在传输数据的同时也传输了时钟信号,所以SPI协议是一种同步传输协议SPI总线协

2021-01-19 17:01:12 514

原创 总线通信协议-IIC

目录1. 简介1.1 特点1.2 接口1.3 工作模式2. 传输协议2.1 时序图2.2 数据帧格式2.3 模块实现2.4 模块测试3. 小结1. 简介IIC(Inter-Integrated Communication)总线是Philips公司开发的两线串行总线,由数据线SDA和时钟线SCL构成1.1 特点同步串行总线,支持多主设备,传输速率为400Kbps1.2 接口SDA:数据线SCL:时钟线SDA和SCL都是双向线路,都通过一个上拉电阻连接到正的电源电压,当总线空闲时都是高电平。

2021-01-14 22:41:08 448

原创 总线通信协议-UART

目录1. 简介1.1 特点1.2. 接口2. 传输协议2.1 传输时序图2.2 模块实现2.3 模块测试3. 小结1. 简介UART:universal asynchronous receiver and transmitter通用异步收发器,串行接口,适用于速度较慢的点对点通信场景,由于是异步通信,没有时钟信号,因此需要收发双方的波特率相同、USART:universal synchronous asynchronous receiver and transmitter通用同步/异步收/发器,是UA

2021-01-14 15:30:15 664

原创 python-内置函数

文章目录1. map()映射函数2. filter()过滤函数3.结构类型转换3.1 set()集合函数3.2 tuple()3.3 list()3.4 dict()4. 数据类型转换4.1 oct()4.2 hex()4.3 bin()4.4 int()4.5 str()4.6 float()4.7举例5. 功能函数5.1 sorted()排序函数5.2 len()函数5.3 range()函数1. map()映射函数功能:map() 会根据提供的函数对指定序列做映射。第一个参数 function 以

2020-12-30 16:28:07 95

原创 python-输入和打印输出

目录1. 输入input()2. 输出print()3. 命令行参数输入argv1. 输入input()##方式一:直接等待从键盘上输入信息,然后回车name=input() ##方式二:先输出一些提示信息name=input(‘please enter your name:’)2. 输出print()# 方式一:单字符串print('hello, world')# 方式二:多字符串,遇到逗号“,”会输出一个空格print('The quick brown fox', 'jumps o

2020-12-25 17:15:05 735

原创 python-文件操作

目录1. open()2. close()4. write()5. readline()6. readlines()7. 较大文件读取方式8. seek()9. tell()1. open()使用 open() 方法一定要保证关闭文件对象,即调用 close() 方法。open() 函数常用形式是接收两个参数:文件名(file)和模式(mode)。2. close()close() 方法用于关闭一个已打开的文件。关闭后的文件不能再进行读写操作, 否则会触发 ValueError 错误。 clo

2020-12-25 16:36:59 87

原创 python-函数使用

目录1. 定义函数2. 调用函数3. 函数参数3.1必备参数3.2默认参数3.3不定长参数3.4关键字参数3.5命名关键字参数4. 参数传递5. 匿名函数1. 定义函数def my_max(x,y) : if x>y : max = x else : max = y return maxdef max2(x,y) : if x>y : max = x else : max = y return max,x2. 调用函数a

2020-12-25 11:44:44 207

原创 python-常见语法

目录1. 判断语句2. 循环语句2.1 for in2.2 while循环3. 文件读写4. 错误处理1. 判断语句if elif else注意:冒号开头,没有括号if a >b : max = aelif a == b : max = aelse : max =b2. 循环语句2.1 for in通过for…in循环,依次把list或tuple中的每个元素迭代出来names = ['A', 'B','C']a = 0for name in names : pr

2020-12-25 11:04:47 102

原创 python-数据结构

目录1. 数字类型2. 字符串 str2.1 字符串截取2.2 字符串拼接3. 列表 list3.1 定义3.2 使用3. 元组 tuple3.1 定义3.2 使用4. 字典 dict4.1 定义4.2 使用5. 集合 set5.1 定义5.2 使用1. 数字类型数字类型主要包括整型,长整型,浮点和复数长整型数据的后缀可以是L或者小写l浮点型是带小数点或者科学记数负数可以用a+bj或者complex(a,b)表示2. 字符串 strpython中单引号和双引号没有区别,都表示字符串,但

2020-12-25 10:44:42 108 1

原创 RISC-V修改汇编代码重新生成仿真文件

目录1. 安装相关工具1.1 工具下载1.2 工具安装2. 修改相关汇编代码2.1 修改isa/rv64ui/add.S2.2 修改isa/rv32ui/add.S3 重新生成.verilog dump 和可执行文件4. 生成fsdb文件1. 安装相关工具主要安装risv需要用到的GNU工具链1.1 工具下载下载地址:https://pan.baidu.com/s/1eUbBlVc1.2 工具安装tar -xzvf gnu-mcu-eclipse-riscv-none-gcc-7.2.0-4-2

2020-12-04 11:50:00 846 1

原创 用VCS仿真运行RISC-V e203例子

目录1. 现有环境2. 操作步骤2.1 将 e200 opensource 项目下载到本机 Linux 环境中2.2 编译RTL代码2.3 修改vism/install/tb/tb_top.v2.4 修改vsim/bin/run.Makefile,2.5 在目录vsim执行make compile2.6 在run目录下执行make all2.7 在vsim目录里下执行make run_test2.8 导入波形1. 现有环境vcs2016.03verdi2016.032. 操作步骤2.1 将 e20

2020-12-03 16:29:16 1466

原创 每日一题-11.29-传播延迟

什么是传播延迟?答:传播延迟指信号从逻辑门的输入端到输出端所需要的时间,与信号跳变的快慢以及输出负载的大小有关系。当输入跳变越慢,输出负载越大,传播延迟越高。传播延迟Tpd=max{TPLH, TPHL}...

2020-11-29 17:08:10 1922 2

原创 verilog编程题-取对数

目录1. 题目2. 代码2.1 DUT2.2 TB3. 仿真4. 小结1. 题目写一个组合逻辑代码,可以直接输出以2为底的对数值,向上取整。2. 代码这个代码主要是用来熟悉for循环的使用,取以2为底的对数,通过右移实现。2.1 DUTmodule log( input wire [31:0] in, //input wire clk, //input wire rst_n, output wire [7:0] out );// 方案一function integer clogb

2020-11-18 22:08:36 3703

原创 VCS编译xilinx IP核

目录1. 背景2. 出现的问题2.1 vivado编译IP库时显示版本不对2.2 vhdlan编译一直报错2.3 编译报错undefined identifier1. 背景vivado2018.03vcs O-2018.09-SP22. 出现的问题2.1 vivado编译IP库时显示版本不对ERROR: [Vivado 12-4686] Simulator version check command failed:"/home/fpga-0/software/synopsys2018/vcs_2

2020-11-14 11:04:47 2874 4

原创 windows下modelsim调用vivado IP核

目录1. 生成IP库2. 添加ip库3. 添加ip核中的源文件4. 进行编译仿真5. 注意事项1. 生成IP库打开vivado, 点击tools下的compile simulation libraries,设置Modelsim的路径以及生成libraries的位置2. 添加ip库打开Modelsim安装路径下的modelsim.ini以及生成库路径下的modelsim.ini将生成库路径下的modelsim.ini中所有IP库全部复制到安装路径modelsim.ini中的对应位置3. 添加i

2020-11-13 11:59:00 913

数值分析试卷2013-2016.rar

内容包括了华中科技大学2013年到2016年的数值分析的试卷,真实有效,绝对不亏,大家多多下载。还是word版,可以自己编辑

2019-12-28

数指分析实验报告.docx

数值分析课程实验包括word版,包括3个实验分别有多项式插值的震荡现象,多项式最小二乘拟合,常微分方程初值问题

2019-12-28

verilog 自动贩卖机

用verilog写的一个简单的自动贩卖机的程序,亲测可用,资源包括.v文件和仿真.sv文件

2017-11-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除