自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (6)
  • 收藏
  • 关注

空空如也

基于GD32F130的IAP程序,包括BootLoader,APP,内部flash读写操作

基于GD32F130的IAP程序,包括BootLoader,APP,内部flash读写操作

2022-08-09

GD32F130处理器例程共32个

包括SD卡读写,AD数据采集,DMA,串口数据收发,外部中断,计时器,PWM,文件系统等

2022-08-08

Vivado 从此开始 高亚军 2017-01-01

本书涵盖了Vivado的四大主题:设计流程、时序约束、设计分析和Tcl脚本的使用,结合实例深入浅出地阐述了Vivado的使用方法,精心总结了Vivado在实际工程应用中的一些技巧和注意事项,既包含图形界面操作方式,也包含相应的Tcl命令。本书语言流畅,图文并茂。全书共包含405张图片、17个表格、172个Tcl脚本和39个HDL代码,同时,本书配有41个电子教学课件,为读者提供了直观而生动的资料。本书可供电子工程领域内的本科高年级学生和研究生学习参考,也可供FPGA工程师和自学者参考使用。 目录 第1章 FPGA技术分析 / 1 1.1 FPGA内部结构分析 / 1 1.1.1 Xilinx 7系列FPGA内部结构分析 / 1 1.1.2 Xilinx UltraScale系列FPGA内部结构分析 / 18 1.2 FPGA设计流程分析 / 22 1.3 Vivado概述 / 25 1.3.1 Vivado下的FPGA设计流程 / 25 1.3.2 Vivado的两种工作模式 / 26 1.3.3 Vivado的5个特征 / 30 参考文献 / 31 第2章 设计综合 / 32 2.1 常用综合选项的设置 / 32 2.1.1 -flatten_hierarchy对综合结果的影响 / 32 2.1.2 -fsm_extraction对状态机编码方式的影响 / 35 2.1.3 -keep_equivalent_registers的含义 / 36 2.1.4 -resource_sharing对算术运算的影响 / 38 2.1.5 -control_set_opt_threshold对触发器控制集的影响 / 38 2.1.6 -no_lc对查找表资源的影响 / 40 2.1.7 -shreg_min_size对移位寄存器的影响 / 41 2.2 合理使用综合属性 / 43 2.2.1 async_reg在异步跨时钟域场合的应用 / 43 2.2.2 max_fanout对高扇出信号的影响 / 44 2.2.3 ram_style和rom_style对存储性能的影响 / 46 2.2.4 use_dsp48在实现加法运算时的作用 / 48 2.3 out-of-context(OOC)综合模式 / 50 2.3.1 Project模式下使用OOC / 50 2.3.2 Non-Project模式下使用OOC / 54 2.4 综合后的设计分析 / 54 2.4.1 时钟网络分析 / 54 2.4.2 跨时钟域路径分析 / 56 2.4.3 时序分析 / 60 2.4.4 资源利用率分析 / 72 2.4.5 扇出分析 / 73 2.4.6 触发器控制集分析 / 75 参考文献 / 75 第3章 设计实现 / 76 3.1 理解实现策略 / 76 3.1.1 Project模式下应用实现策略 / 76 3.1.2 Non-Project模式下应用实现策略 / 80 3.2 理解物理优化 / 81 3.3 增量实现 / 82 3.3.1 Project模式下应用增量实现 / 82 3.3.2 Non-Project模式下应用增量实现 / 87 3.4 实现后的设计分析 / 88 3.4.1 资源利用率分析 / 88 3.4.2 时序分析 / 88 3.5 生成配置文件 / 90 3.6 下载配置文件 / 93 参考文献 / 99 第4章 设计验证 / 100 4.1 行为级仿真 / 100 4.1.1 基于Vivado Simulator的行为级仿真 / 100 4.1.2 基于ModelSim/QuestaSim的行为级仿真 / 111 4.2 实现后的时序仿真 / 115 4.3 使用VLA(Vivado Logic Analyzer) / 118 4.3.1 使用ILA(Integrated Logic Analyzer) / 118 4.3.2 使用VIO(Virtual Input/Output) / 126 4.3.3 VLA中的数据分析 / 128 4.4 使用add_probe / 133 参考文献 / 134 第5章 IP的管理 / 135 5.1 定制IP / 135 5.1.1 在Vivado工程中定制IP / 135 5.1.2 在Manage IP中定制IP / 139 5.2 IP的两种生成文件形式:xci和xcix / 144 5.3 对IP的几个重要操作 / 148 5.3.1 IP的综合 / 148 5.3.2 IP的仿真 / 150 5.3.3 IP的更新 / 151 5.3.4 IP输出文件的编辑 / 155 5.4 IP的属性与状态 / 156 5.5 IP的约束 / 159 5.6 封装IP / 164 5.6.1 通过Vivado工程封装用户代码 / 164 5.6.2 通过指定目录封装用户代码 / 177 参考文献 / 178 第6章 约束的管理 / 179 6.1 基本时序理论 / 179 6.2 两类基本约束 / 180 6.2.1 时钟周期约束 / 180 6.2.2 引脚分配 / 201 6.3 两种时序例外 / 210 6.3.1 多周期路径约束 / 210 6.3.2 伪路径约束 / 214 6.4 从UCF到XDC / 217 6.4.1 UCF与XDC的基本对应关系 / 217 6.4.2 理解层次标识符在UCF和XDC中的区别 / 219 6.5 时序约束编辑辅助工具 / 220 6.5.1 时序约束编辑器 / 220 6.5.2 时序约束向导 / 223 6.6 关于约束文件 / 224 参考文献 / 226 第7章 Tcl在Vivado中的应用 / 227 7.1 Vivado对Tcl的支持 / 227 7.2 Vivado中Tcl命令的对象及属性 / 232 7.2.1 文件对象及属性 / 232 7.2.2 网表对象及属性 / 234 7.3 Tcl命令与网表视图的交互使用 / 241 7.4 典型应用 / 242 7.4.1 流程管理 / 242 7.4.2 定制报告 / 246 7.4.3 网表编辑 / 249 7.5 其他应用 / 253 参考文献 / 256

2017-10-09

VC++2008入门经典(高清文字版 非扫描 英文版 700 页)《Ivor Horton’s Beginning Visual C++®2008》

(高清文字版 非扫描 英文版 700 页),学习VC++的经典书籍。《Ivor Horton’s Beginning Visual C++®2008》

2017-03-10

STM32F407_uCOS_ucgui+7寸群创液晶+电容触摸屏

STM32F407IGT6_uCOS-II_ucgui3.9+7寸群创液晶83+电容触摸屏+PWM,(部分代码来自与奋斗开发板尊重原创),花了一个多月才整理出来,代码有非常详细的介绍,之前写代码时搜索过还没有类似的,对液晶的驱动有非常详细的说明和地址计算方法,所以贡献出来,赚点10分的资源分,算是劳务费。也有IAR的,但暂时不上传了。

2014-10-13

matlab GUI串口调试助手

matlab GUI串口调试助手,利用GUIDE 编写界面进行串口调试,附带有调试界面的源代码

2012-11-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除