• 博客(0)
  • 资源 (2)

空空如也

VHDL 专题--------电子密码锁

VHDL 专题--------电子密码锁 设计一个简单的数字电子密码锁,密码为 4 位。 功能: 1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、 密码清除:清除密码输入,并将输入置为”0000”; 3、 密码修改:将当前输入设为新的密码;

2010-01-24

密码锁设计全课程设计报告

密码锁设计全课程设计报告 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路

2010-01-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除