• 博客(0)
  • 资源 (3)

空空如也

交织解交织vhdl代码

用VHDL语言编写的实现交织编码和解交织功能的代码。交织采用按行写入,按列读出的方法实现。主要包括:信源信号产生(20位的m序列),交织器,解交织器。为实现流水线的操作,采用了两个交织器和两个解交织器,当一个写入数据的时候,另一个读出数据

2010-09-09

通信系统仿真vhdl(采用查表法计算crc)

用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用查表法计算crc),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或),crc解码,数据串行输出。

2010-09-06

Verilog详细教程

对Verilog语言的语法做了详细介绍,最后一章给出了实例程序

2010-07-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除