自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

limanjihe的专栏

点滴积累

  • 博客(275)
  • 资源 (6)
  • 收藏
  • 关注

原创 虚拟机ubuntu网卡不见(网络图标不见)解决方法

Ubuntu网卡不见

2022-12-06 22:45:18 4446 3

原创 Ubuntu中安装Visual Studio Code

VS code安装

2022-12-06 22:29:44 1150

原创 Ubuntu使用lightdm避坑

lightdm

2022-08-12 16:33:40 2737 1

原创 iverilog安装-从下载到安装

从github下载编译安装并测试iverilog源码下载路径:git clone https://github.com/steveicarus/iverilog安装依赖:readline 4.2termcapgperf 3.0bison and flexg++Makeautoconf 2.53可以根据安装过程提示再逐步安装对应依赖;编译安装过程:$ cd iverilog $ ./autoconf.sh$ ./configure --prefix=/my/special/d

2022-01-15 19:54:33 3937

原创 一文看懂RISC-V的RV32I指令集

reference: <riscv-spec.pdf>1.RV32I指令集格式六种基本指令格式,分别是:目的类型用于寄存器-寄存器操作R 类型指令用于短立即数和访存 load 操作I 型指令用于访存 store 操作S 型指令用于条件跳转操作B 类型指令用于长立即数U 型指令用于无条件跳转J 型指令  如下所述,分支指令(B 类型)的立即数字段在 S 类型的基础上旋转了 1 位。跳转指令(J类型)的直接字段在 U 类型的

2022-01-09 17:40:04 11916 1

原创 riscv-gnu-toolchain工具链-从下载到运行

reference: 利用码云镜像快速拉取riscv-gnu-toolchain工具链riscv-gnu-toolchain是riscv的gcc交叉编译工具链github地址为:https://github.com/riscv/riscv-gnu-toolchain/tree/master正常拉取的步骤为:git clone [email protected]:riscv/riscv-gnu-toolchain.gitcd riscv-gnu-toolchaingit submodule updat

2022-01-07 23:18:48 15024 12

原创 Ubuntu切换为阿里源

reference:https://developer.aliyun.com/article/751568根据官方文档实际上会遇到问题,故而重写一遍流程:1.确定ubuntu当前的版本通过http://mirrors.aliyun.com/ubuntu/dists/网站查看是否支持当前系统的Codename。如果发现自己的ubuntu版本没有被支持,则没有必要继续进行了.2.备份源#cd /etc/apt#sudo mv sources.list sources.list.bak3.新

2022-01-07 20:07:07 1240

原创 ARM安全

ARM安全技术-使用TrustZone技术构建安全系统(翻译)

2021-12-22 10:33:52 1713

原创 SSH连接超时后断开连接

SSH超时断开ssh防止连接掉线ssh到一个远程主机以后过一段时间不操作就掉线。解决方案是 增加如配置到/etc/ssh_config,Host * # 这行一般都有。ServerAliveInterval 15 # 一般只加这行即可。ssh_config 这个是配置客户端的。sshd_config 这个是配置服务器端的。有些时候隧道会因为一些原因通信不畅而卡死,例如:由于传输数据量太大,被路由器带入stalled状态。这种时候,往往SSH客户端并不退出,而是卡死在那里。一种应对方法是,使用

2021-12-22 10:07:21 901

原创 sudo指令提示当前user非root权限用户

linux权限之su和sudo的差别sudo指令提示当前user非root权限用户su方式切换是须要输入root的password。而sudo仅仅须要输入自己的password,所以sudo能够保护root的password不外流的。当帮root管理系统的时候,su是直接将root全部权利交给用户。而sudo能够更好分工,仅仅要配置好/etc/sudoers,这样sudo能够保护系统更安全,并且分工明白,有条不紊。将user添加到Allow members of group sudo to exec

2021-12-22 09:57:36 1970

原创 Bipolar Junction Transistor特性曲线

通过对NP结的掺杂程度来划分e/c极;集电结相对重掺杂;  三极管在硬件设计中太过普遍了,不过要很好的理解三级管的特性,却没有那么简单,下图的曲线中截止区和放大区理解较为容易,而饱和区不能看图理解,否则会很迷糊。(1)截止区:简单的讲就是三极管未导通,Ube<打开电压,一般是小于0.5或者0.7V,此时Ib=0,Ic=Iceo≈0.(2)放大区:发射结正偏(Ube>0),集电结反偏(Ubc<0),即Vc>Vb>Ve, 此时Ic=βIb,成线性放大关系。(3)饱和区:发

2021-09-23 15:27:12 601

转载 CAN波特率计算公式

波特率计算公式假设:fbus = 32M 总线时钟时间段:时间段1:CAN_BS1时间段2:CAN_BS2Tq时钟周期(时间段):时间段1:CAN_BS1 = CAN0BTR1_TSEG[10:13]的十进制数值 + 1 注释 CAN0BTR1_TSEG[10:13] = ( 0 ~ 15 ) , CAN_BS1 = ( 1 ~ 16 )时间段2:CAN_BS2 = CAN0BTR1_TSEG[20:22]的十进制数值 + 1 注释 CAN0BTR1_TSEG[20:22] = ( 0 ~ 7

2021-09-14 00:01:21 7822 1

原创 Chisel语言

reference:谈谈chiselgithub-Chipsalliance/chisel第零章 序 Chisel教程汇总Chisel是由伯克利大学发布的一种开源硬件构建语言,通过使用高度化的参数生成器和分层的专用硬件设计语言来支持高级硬件设计。重要特性:内嵌Scala编程语言层次化+面向对象+功能构建使用Scala中的元编程可以高度地参数化支持专用设计语言的分层生成低级Verilog设计文件,传递到标准ASIC或FPGA工具采用Chisel设计的电路,经过编译,可以得到针对FPGA、

2021-08-26 14:27:50 1389

转载 MSHR(Miss Status Handling Register)

reference:※https://baike.baidu.com/item/MSHR/5968221?fr=aladdin※https://blog.csdn.net/dark5669/article/details/53895775※Li C, Song S L, Dai H, et al. Locality-Driven Dynamic GPU Cache Bypassing[J]. 2015.※超标量处理器设计,姚永斌,p314,要支持非阻塞cache,在处理器中就需要将那些已经产生D-C

2021-08-26 11:23:03 1928

转载 以太网的几种标准

参考:http://blog.163.com/fbn2580@126/blog/static/165500085201412095658190/http://blog.csdn.net/guoshaobei/article/details/4768514https://blog.csdn.net/fall221/article/details/47861335下图给出了4种链路层协议:一.Ethernet帧格式的发历史沿革1980 DEC,Intel,Xerox制订了Ethernet I的标准

2021-08-24 17:37:45 5114

原创 美满电子-88E1111PHY

Reference:https://blog.csdn.net/qq_20128363/article/details/829059591.PHY芯片简介PHY芯片在OSI协议栈中属于最底层的物理层,与其它层的关系图如下:从硬件上来说,一般PHY芯片为模数混合电路,负责接收电、光这类模拟信号,经过解调和A/D转换后通过MII接口将信号交给MAC芯片进行处理。一般MAC芯片为纯数字电路。物理层定义了数据传送与接收所需要的电与光信号、线路状态、时钟基准、数据编码和电路等,并向数据链路层设备提供标准接

2021-08-24 15:44:26 1863

转载 lsof命令

链接:https://www.jianshu.com/p/a3aa6b01b2e1  lsof是系统管理/安全的尤伯工具。将这个工具称之为lsof真实名副其实,因为它是指“列出打开文件(lists openfiles)”。而有一点要切记,在Unix中一切(包括网络套接口)都是文件。  有趣的是,lsof也是有着最多开关的Linux/Unix命令之一。它有那么多的开关,它有许多选项支持使用-和+前缀。 usage: [-?abhlnNoOPRstUvV] [+|-c c] [+|-d s] [+

2021-08-24 15:25:17 157

转载 关于DesignWare的看法

一.DesignWare是什么摘自https://zhidao.baidu.com/question/473669077.htmlDesignWare是SoC/ASIC设计者最钟爱的设计IP库和验证IP库。它包括一个独立于工艺的、经验证的、可综合的虚拟微架构的元件集合,包括逻辑、算术、存储和专用元件系列,超过140个模块。DesignWare和 Design Compiler的结合可以极大地改进综合的结果,并缩短设计周期。Synopsys在DesignWare中还融合了更复杂的商业IP(无需额外付费)目

2021-08-24 15:12:26 937 1

原创 LSF提交作业命令--bsub

reference: https://blog.csdn.net/l471094842/article/details/94039624VNC (Virtual Network Computing)是虚拟网络计算机的缩写。VNC 是一款优秀的远程控制工具软件,由著名的 AT&T 的欧洲研究实验室开发的。VNC 是在基于 UNIX 和 Linux操作系统的免费的开源软件,远程控制能力强大,高效实用,其性能可以和 Windows 或 MAC 中的任何远程控制软件媲美。在Linux 中,VNC 包括以下

2021-08-15 11:04:13 9984

转载 列举USB设备命令--lsusb

lsusb显示本机的USB设备列表信息补充说明lsusb命令 用于显示本机的USB设备列表,以及USB设备的详细信息。lsusb命令是一个学习USB驱动开发,认识USB设备的助手,推荐大家使用,如果您的开发板中或者产品中没有lsusb命令可以自己移植一个,放到文件系统里面。语法lsusb(选项)选项-v:显示USB设备的详细信息;-s<总线:设备号>仅显示指定的总线和(或)设备号的设备;-d<厂商:产品>:仅显示指定厂商和产品编号的设备;-t:以树状结构显示无理

2021-08-15 10:47:29 668

原创 单用户模式下Centos的操作

1.Centos 进入单用户模式有时候配置linux的过程中,因为一些误操作导致系统初始化时堵塞或挂起而无法进入系统,原因往往是因为配置文件设置错误,部分文件被误删之类。遇到这种情况一般新手的做法就是重装(虚拟机不装白不装),但在实际生产环境中这种处理手段大部分时候是不可接受的。其实Linux也有提供类似windows安全模式的东西,叫单用户模式。在此模式下,Linux以最小化模式运行,也不能进行远程登录,大部分非核心服务也不会在启动阶段加载,而且以root用户登陆。以下为进入方法:1、启动电脑,进

2021-08-15 10:44:58 1406

原创 SSH远程登录

配置远程Server保证两点:1. SSH Server安装并运行;2.防火墙关闭Ubuntu版本-安装运行SSHSSH分为客户端 openssh-client 和服务器 openssh-server,可以利用以下命令确认电脑上是否安装了客户端和服务器。dpkg -l | grep ssh如果只是想远程登陆别的机器只需要安装客户端(Ubuntu默认安装了客户端),如果要开放本机的SSH服务就需要安装服务器。sudo apt-get install openssh-client sudo ap

2021-08-15 10:18:23 7440

转载 HTML中&nbsp;&emsp; 等空格的区别

HTML中&nbsp;&emsp;等空格的区别HTML提供了5种空格实体(space entity),它们拥有不同的宽度,非断行空格(&nbsp;)是常规空格的宽度,可运行于所有主流浏览器。其他几种空格(&ensp; &emsp; &thinsp; &zwnj;&zwj;)在不同浏览器中宽度各异。&nbsp;它叫不换行空格,全称No-Break Space,它是最常见和我们使用最多的空格,大多数的人可能只接触了&nbsp;

2021-08-12 11:04:21 1004

原创 evince-Centos中打开pdf文件

evince 是一个支持多种格式的文件浏览器,如的PostScript , PDF格式,单页和多页TIFF , DVI接口, DjVu ,等等。它具有网页的缩略图,通过Gnome或基于GTK +印刷框架和范围内搜索文件。它支持显示的PDF索引和浏览PDF文件的加密。Evince 文档查看器(又叫Document Viewer), 虽然也不是非常强大(没有调背景色的功能),但最基本的阅读体验是愉快的.安装方法:yum install evince打开pdfevince *.pdf...

2021-08-12 10:50:37 1569

转载 一文看懂FPGA原型验证的技术进阶之路

reference: https://www.eefocus.com/eda-pcb/470325电子设计自动化—— Electronic Design Automation,简称 EDA。作为芯片设计最上游,EDA 软件的工作,是要在芯片那么小的空间进行布局布线、版图、设计规则检查等,好比在米粒上刻出航空母舰模型。在 EDA 问世之前,设计人员必须通过手工完成电路设计和布线等基础工作。随着芯片集成度提高和性能的多元化,令芯片设计要求也变得越来越复杂,一个指甲盖大小的芯片上要集成数百亿颗晶体管,这样细微

2021-07-14 21:39:12 3531

转载 验证中的FPGA原型验证

reference: https://blog.csdn.net/zhajio/article/details/80612276什么是FPGA原型?FPGA原型设计是一种成熟的技术,用于通过将RTL移植到现场可编程门阵列(FPGA)来验证专门应用的集成电路(ASIC),专用标准产品(ASSP)和片上系统(SoC)的功能和性能。由于硬件复杂性不断增加,需要验证的相关软件数量不断增加,因此它今天的使用范围更加广泛。为什么公司使用FPGA原型?FPGA已经被用于验证相对成熟的RTL,因为它们可以代表一个

2021-07-14 21:27:01 4477

转载 ZYNQ双核AMP开发详解(USE_AMP -DUSE_AMP=1 含义和作用详解)

reference: https://blog.csdn.net/tech06/article/details/106697858Zynq双核AMP模式运行(Zynq双核AMP模式运行演示视频教程 :https://www.ixigua.com/i6836328174431765005/),CPU1工程的bsp中需要增加编译选项“-DUSE_AMP=1”,这个编译选项有什么作用呢?是如何影响源码的编译的呢?我们找到Xilinx相关的说明文档,查看一下,我们在Xilinx官网搜索“-DUSE_AMP=1

2021-07-11 01:02:41 735

原创 scanf对输入类型的区别处理:“%s“,“%c“,“%d“

先看两个例子:第一个例子:#include<stdio.h>int main(void) { char arr[20]; char a; int n; printf("Input a number:"); scanf("%d", &n); printf("Input a char:"); scanf("%c", &a); printf("%d %c", n, a); retur

2021-07-10 23:45:30 2735 2

原创 arm跨平台交叉编译tool:arm-linux-gcc之参数理解

arm-linux-gcc 是gcc编译器 ,在x86 linux平台上编译出运行在ARM平台上的代码 。首先介绍下GCC编译器的工作过程,编译过程分为四个阶段:预处理(Pre-Processing)编译(Compiling)汇编(Assembling)链接(Linking)  Linux程序员可以根据自己的需要让 GCC在编译的任何阶段结束,以便检查或使用编译器在该阶段的输出信息,或者对最后生成的二进制文件进行控制,以便通过加入不同数量和种类的调试代码来为 今后的调试做好准备。和其它常用的

2021-05-07 10:45:10 1287

转载 C++理解之“构造函数和析构函数”

reference:https://www.runoob.com/cplusplus/cpp-constructor-destructor.html类的构造函数类的构造函数是类的一种特殊的成员函数,它会在每次创建类的新对象时执行。构造函数的名称与类的名称是完全相同的,并且不会返回任何类型,也不会返回 void。构造函数可用于为某些成员变量设置初始值。类的析构函数类的析构函数是类的一种特殊的成员函数,它会在每次删除所创建的对象时执行。析构函数的名称与类的名称是完全相同的,只是在前面加了个波浪号(~

2021-03-30 10:15:39 219

原创 简单工厂方法模式之“构造函数和析构函数”理解

reference: https://blog.csdn.net/weixin_46826913/article/details/106289647简单工厂模式(C语言实现)简单工厂、工厂方法模式、抽象工厂模式1 简介简单工厂方法定义一个用于创建对象的类,该类接受一个参数,通过参数决定创建不同的对象。GOF并没有把简单工厂方法定义为23种设计模式之一,可以认为简单工厂方法是工厂方法的简化形式。为了体现简单工厂方法和工厂方法的区别和联系,此处把简单工厂方法先单独讲一下。2 模拟场景假设你要生产

2021-03-29 21:57:33 354

原创 GCC/G++调试添加编译选项-g作用与用法

reference:https://blog.csdn.net/tanrui519521/article/details/79867221http://c.biancheng.net/view/8153.html1.问题为什么调试的时候需要编译选项中添加 -g默认编译生成的可执行文件是无法使用 gdb 来跟踪或调试的,因为可执行程序中没有可供 gdb 调试使用的特殊信息,为了将必要的调试信息整合到可执行文件中,我们便需要用到 -g 选项,这样生成的可执行程序,倘若出现问题,便可以使用 gdb 找出

2021-03-29 20:12:38 24530 2

原创 linux 命令行出现-bash-4.2$的解决办法

reference: https://blog.csdn.net/weixin_40106836/article/details/86495232https://blog.csdn.net/mjb115889/article/details/82115708现象:命令行出现-bash-4.2$,而非默认的[用户名@主机名:]的格式。处理方法:输入这两句话,然后关闭终端,重新登录一下就好了cp /etc/skel/.bashrc /root/ #改为自己的本地路径cp

2021-03-29 16:11:37 8505 3

原创 CentOS 7没有共享文件夹hgfs

reference:https://blog.csdn.net/weixin_44343935/article/details/101603848https://blog.csdn.net/yana_balabala/article/details/83864028现象:安装完了VMware tools ,发现/mn/hgfs文件夹不存在 。原因:在Centos 7中,将windows中的文件目录共享到CentOS 7中要进行挂载。在Centos 6中,windows共享的文件目录是自动挂载的。

2021-03-27 15:26:43 1136 2

原创 linux环境下questasim 10.7的安装总结

reference: https://blog.csdn.net/weixin_36590806/article/details/109692507https://centos.pkgs.org/https://blog.csdn.net/weixin_36590806/article/details/109692507https://blog.csdn.net/weixin_34326558/article/details/90072161http://www.myir-tech.com/faq_

2021-03-27 14:31:15 5469 6

原创 Linux中利用grep命令如何检索文件内容详解

reference:https://www.jb51.net/article/127783.htm这篇文章主要给大家介绍了关于Linux中利用grep命令如何检索文件内容的相关资料,并且给大家简单介绍了关于Linux grep和find的区别,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面来一起看看吧。前言Linux系统中搜索、查找文件中的内容,一般最常用的是grep命令,另外还有egrep命令,同时vi命令也支持文件内容检索。下面来一起看看Linux利用grep命令检索文件内容的详细介

2021-03-27 13:42:56 23614

原创 Linux的Terminal快捷键

Linux中的许多操作在终端(Terminal)中十分的快捷,记住一些快捷键的操作更得心应手。在Linux中打开终端的快捷键是 Ctrl+Alt+T 。其他的一些常用的快捷键如下:快捷键功能Tab自动补全Ctrl+a光标移动到开始位置Ctrl+e光标移动到最末尾Ctrl+k删除此处至末尾的所有内容Ctrl+u删除此处至开始的所有内容Ctrl+d删除当前字符Ctrl+h删除当前字符前一个字符Ctrl+w删除此处到左边的单词

2021-03-26 14:12:54 1442

转载 信号完整性之阻抗匹配与端接方法

信号完整性之阻抗匹配与端接方法1. 前言随着电子技术的发展,电路的规模越来越大,单个器件集成的功能越来越多,速率越来越高,而器件的尺寸越来越小。由于器件尺寸的减小,器件引脚信号变化沿的速率变得越来越高,导致SI问题越来越突出。SI (Signal Integrity,信号完整性)与以下几个因素有关:反射、串扰、辐射。反射是由信号传输路径上的阻抗不连续造成的;串扰与信号的间距有关;辐射则与高速器件自身以及PCB设计均有关。2. 信号的阻抗匹配信号的阻抗匹配是影响信号完整性最主要的.

2020-10-26 20:53:06 9839 2

转载 HDMI的基本概念

HDMI 采用和 DVI 相同的传输原理——TMDS(Transition Minimized Differentialsignal),最小化传输差分信号。TMDS 传输系统分为分为两个部分:发送端和接收端。TMDS 发送端收到 HDMI 接口传来的表示 RGB 信号的 24 位并行数据(TMDS 对每个像素的 RGB 三原色分别按 8bit 编码,即 R 信号有 8 位,G 信号有 8 位,B 信号有 8 位),然后对这些数据进行编码和并/串转换,再将表示 3 个 RGB 信号的数据分别分配到独.

2020-10-13 20:37:20 3371

转载 MIPI vs DVP

reference:https://blog.csdn.net/arm2016/article/details/105466570?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.channel_param&depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-

2020-10-13 20:04:20 1242

Xilinx HDMI ZCU106 HDMI_TX_SS

基于 VIVADO2019.2的带bit/vitis完整工程

2022-06-08

SoC设计方法与实现

适合刚入门的学生看

2017-05-02

步步惊“芯”_软核处理器内部设计分析(第一部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

步步惊“芯”_软核处理器内部设计分析(第二部分)

由于文件限制在60M内所以分成两个压缩包上传。

2017-03-31

vim实用技巧

2017-01-14

SystemVerilog 验证方法学

对新一代的验证语言SYSTEM VERILOG进行了详细的介绍,有利于验证工程师进一步提升技能。

2015-10-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除