自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

我的未来从这里开始

便捷生活->创新生活->未来生活

  • 博客(74)
  • 资源 (8)
  • 收藏
  • 关注

原创 正则表达式的方法解析文件

读文件,使用正则表达式的方法解析文件,生成数据,存到vector中。#include <boost/regex.hpp>#include <string>bool fileToxy(void){ ifstream get_odom_file; get_odom_file.open(ODOM_FILE); if(get_odom_file....

2018-07-20 18:43:48 940 1

原创 vim 删除多行方法

比如想删除一个文件的1-42行的前2列:gg #到文件首ctrl+v #可视块模式42j,2l #注意是小写的J和L(使用方向键向下和向右来选择删除区域也可以)d #删除...

2018-07-17 14:28:23 9388

原创 STM32 SPI+DMA (HAL库)使用方法

/** SPI DMA初始化,并开启一次数据接收,* 关键是返回函数的初始化,DMA 源地址和目的地址的初始化,各标志位的清空与开启*/void HAL_SPI_Receive_DMA_INIT(SPI_HandleTypeDef *hspi, uint8_t *pData, uint16_t Size){ hspi->State = HAL_SPI_STATE...

2018-05-11 10:43:25 41097

原创 PyCharm Python IDE

PyCharm应该是目前最好的Python IDE,没有之一。 之前转载的这篇文章大家就随便看看吧!

2018-05-07 15:59:09 501

原创 调试 BQ25892 I2C通讯

调试这个芯片,犯了一个软件错误(硬件原理图没有问题)。由于之前使用的eeprom,bmp085,axp209都是使用器件地址作为写地址,器件地址加1后作为读地址,导致使用bq25892后,看了bq5892手册后依然这样操作,导致写设备地址一直无法收到应答信号。困惑了很久,一直认为是自己时序有问题,后来 我与TI工程师交流 才意识到自己对手册的理解有问题。正确的理解是设备地址为0x6Bh,但...

2018-05-07 15:50:46 2657

原创 树莓派3B + Sony Playstation Eye + MJPG-Streamer 局域网视频监控

准备东西:树莓派3BSony Playstation Eye摄像头micro数据线网线具体步骤如下: 1. 共享wifi给本地连接因为我使用的是笔记本,故连接wifi,进入控制面板–>更改适配器,找到该无线网络连接,如下图: 进入该网络连接的共享属性,选择本地连接进行共享。如果没有出现家庭网络连接选择,说明此时只有一个选项。在windows 下,打开 命令提...

2018-04-25 10:45:58 3820 1

原创 python+selenium 抢小米mix 2s手机

小米手机的确太难抢了,所以试着写了个程序玩下,还不知道行不行,明天试试!不过,还是要增加多个帐号一起抢,概率还是要大些,黄牛就是使用多帐号抢的!先附上源代码,有什么不对地方,大家帮忙指正!#!/usr/bin/env python# _*_ coding:utf-8 _*_import timeimport osfrom selenium import webdriverc...

2018-04-11 10:52:00 5212 6

原创 python抢票(带配置文件)

源码如下:#!/usr/bin/env python# _*_ coding:utf-8 _*_from splinter.browser import Browserfrom time import sleepimport os# from selenium.webdriver.chrome.options import Optionsimport loggingfrom...

2018-04-08 19:36:54 5136

转载 mylogger库文件

源代码如下:#!/usr/bin/env python# _*_ coding:utf-8 _*_import loggingclass Logger: def __init__(self, path, clevel=logging.DEBUG, Flevel=logging.DEBUG): self.logger = logging.getLogger...

2018-04-04 10:15:46 563

原创 python Splinter 12306抢票

源码记录如下:#!/usr/bin/env python# _*_ coding:utf-8 _*_#!/usr/bin/env python# _*_ coding:utf-8 _*_from splinter.browser import Browserfrom time import sleepimport os# from selenium.webdriver.c...

2018-03-29 17:51:57 1201

转载 笔记本作为热点

netsh wlan start hostednetwork是开启无线网命令。 常用的无线网命令:一、开启系统承载网络模块和新建无线网络命令: netsh wlan set hostednetwork mode=allow ssid=wifi key=12345678 说明:ssid就是无限网的名称,key就是密码,都是可以随便改的。 二、开启无线网命令: netsh wlan st...

2018-03-19 13:08:52 945

原创 树莓派3B + nginx + php + sqlite的组合服务器搭建(亲测)

网上找了好多篇资料来调试,nginx搭建倒是没有什么问题,容易出问题的地方是修改nginx的配置文件。安装nginx,php,sqlite命令如下: sudo apt-get install nginx sudo apt-get install php5-fpm php5-sqlite启动和停止nginx命令如下: sudo /etc/init.d/nginx st...

2018-03-01 10:27:43 3768

原创 插上固态硬盘,卡logo

固态硬件装win7系统,使用小马激活系统,重启后,卡死在logo界面,无法进入bios。 最终解决办法是:更新主板bios,可以进入相关主板官网,下载最新bios,亲测!

2017-12-22 16:55:37 6830

转载 运放的输入共模区间及解决VICMR问题

你是否超越了运放的输入共模区间?作者:Todd Toporski, 德州仪器为自己的电路挑选运放要通过一个选择过程,其中要考虑到最关键的应用参数。审查的参数可能包括:电源电压、增益带宽积、转换速率,以及输入噪声电压。另外还必须考虑输入共模区间,这对所有运放电路都是一个关键参数。终生与运放打交道的工程师们很可能都遇到过这类情况,运放出现了未曾预料的性能。运放的好处是它们的输出通常会说明真相。很多情况下

2017-09-21 16:56:59 5343

转载 不同地间,不同元器件作用

如果不选择使用整个平面的作为公共的地线,比如模块本身有两个地线的时候,就需要进行对地平面进行分割,这往往与电源平面有相互作用。地之间的连接方法如下:   ① 地间电路板普通走线连接:使用这种方法可以保证在中两个地线之间可靠的低阻抗导通,但仅限于中低频信号电路地之间的接法。   ② 地间大电阻连接:大电阻的特点是一旦电阻两端出现压差,就会产生很弱的导通电流,把地线上电荷泄放掉之后,最终实现两端的

2017-09-21 16:49:46 1013

原创 使用ULINK仿真器下载时提示“Invalid ROM Table”错误

环境说明 ULINK2, ULINK-ME and ULINKPro 分析描述 当使用ULINK2,ULINK-ME或者ULINKPro仿真器连接下载程序至芯片时,出现以下错误:Invalid ROM Table以前用ULINK-ME/ULINK2和ULINKPro调试这些开发板的时候是正常的,该如何下载程序呢? 问题解答对于ULINK2, ULINK-ME和ULINKPro仿真器,请确定O

2017-08-17 14:56:46 1018

原创 stm32 DEBUG“invalid rom table”错误

解决方法:先断电,把BOOT0置1,keil配置修改一下:进入Debug–Settings–Flash Download页面,把Program、Verify、Reset and Run前面的勾去掉。 下载程序进行芯片的擦除。恢复BOOT0接地。再把刚才修改过的Debug配置改回来,就可以正常使用了。 该方案亲测有效。

2017-08-03 10:59:02 4664 1

原创 STM32 HAL库 error: #20: identifier "HAL_StatusTypeDef" is undefined问题

error: #20: identifier “HAL_StatusTypeDef” is undefined我使用的是stm32l0的芯片,由于没有标准库可用,无奈只有折腾HAL库了。首先,说下上面的错误怎么来的。我使用stm32cubeMX自动生成了代码,并且自己在工程里面新建了文件,文件里面需要用到HAL外设库中定义的宏定义,本来应该添加包含“stm32l0xx_hal_dac.h”,但是我

2017-07-31 17:35:21 25712 17

原创 如何在Yocto中使用自己的设备树dts和内核配置

如链接 ,之前一直是直接在Yocto项目内核中修改dts,然后编译内核和我自己的层。 但是慢慢发现,修改的内容连自己都不记得,并且,一旦内核更新,内核dts又需要重新修改一遍,这样会很繁琐。 于是,找到方法来在Yocto中使用自己的设备树dts和内核配置。1.自定义自己的layer 切换到fsl-community-bsp/sources 目录,使用命令添加一个meta-bdgk layer

2016-12-27 21:28:27 9518

原创 基于Yocto项目UART驱动开发

官方的i.MX 6UltraLite EVK Board 的镜像中串口只打开了UART1和UART2,那么如何打开其他的串口,步骤记录如下:查找内核 查找Yocto项目下内核存放路径,点击参考命令链接 。因为我不知道内核名称任何信息(因为我是初次使用Yocto开发项目,对其完全不了解,一头雾水),我使用bitbake -s | grep linux ,如图1: 初步了解知道linux

2016-11-26 14:30:06 3783

原创 stm32生成bin、axf文件

图1 如图1错误记录一下在使用stm32生成bin、axf文件注意的问题。生成bin、axf文件的路径要选择正确,比如工程当前目录使用.\(./ 也没有问题),我的bin文件放在工程目录下级目录Bin中,故使用.\Bin\A1_BOOT_F3.bin;生成bin、axf文件需要设置一些选项,如图2和3 图2 图3 我们是使用fromelf.exe应用程序生成bin、axf文件,无

2016-04-02 12:56:27 17784 2

转载 蓝牙4.0

问:什么是蓝牙通信? 答:蓝牙通讯最初设计初衷是方便移动电话(手机)与配件之间进行低成本、低功耗无线通信连接,现在已经成为IEEE802.15标准,得到全球上万家厂商支持。问:如果从事蓝牙开发有没有前途? 答:严格地说,这不是一个技术问题,而是一个世界观问题。什么是前途?如果单纯是金钱,从事技术是不太可能暴富的(注意比尔.盖茨是个技术商人);如果想用你所能改善世界,这是可能的,毕竟蓝牙的主要用

2016-03-03 19:28:55 1726

转载 TVS管在保护电路中的应用

在实际的电路中,如图所示,在DC input的时候,有时由于供电环境的变化会带来一些瞬时脉冲。而要消除瞬时脉冲对器件损害的最好办法,就是将瞬时电流从敏感器件引到地,一般具体做法是将TVS在线路板上与被保护线路并联。这样,当瞬时电压超过电路正常工作电压后,TVS将发生雪崩击穿,从而提供给瞬时电流一个超低阻抗的通路,其结果是瞬时电流通过TVS被短路到GND,从而避开被保护器件,并且在电压恢复正常值之前使

2016-02-27 11:57:00 4155

转载 vim tab输入4个空格

在/etc/vim/vimrc中添加以下代码后,重启vim即可实现按TAB产生4个空格: set ts=4 set expandtab 纯属备忘。

2015-09-13 22:20:56 1097

原创 ubuntu 14.04LTS apt-get update时出现错误解决

ubuntu 14.04LTS apt-get update出现错误提示如下: W: GPG error: http://ppa.launchpad.net trusty Release: The following signatures couldn’t be verified because the public key is not available: NO_PUBKEY C251824

2015-09-11 22:36:10 3633

原创 C语言Log记录调试

参考博客天堂大鸟的程序,并调试成功,可以记录程序调试过程。代码记录如下:#include<stdarg.h>#include<stdio.h>#define COMMLIB_DBG_FILE "./MyLog.log"void mydbgprintf(const char *str, ...){ va_list ap; FILE *fh = NULL;#ifdef __ST

2015-08-27 13:31:34 2156

原创 sublime text 3在windows中配置ctags插件

图1一、如果Preferences > Browse Packages菜单下没有Package Control目录,我们可以通过以下步骤手动安装Package Control:1.点击Preferences > Browse Packages菜单2.进入打开的目录的上层目录,然后再进入Installed Packages/目录图23.下载Pa

2015-08-17 15:22:39 33424 5

转载 安装交叉编译器arm-none-linux-gnueabi-gcc

安装交叉编译器arm-none-linux-gnueabi-gcc 过程1.下载,感谢万能的wgetwget http://www.codesourcery.com/sgpp/lite/arm/portal/package4571/public/arm-none-linux-gnueabi/arm-2009q1-203-arm-none-linux-gnueabi-i686-pc-linu

2015-07-29 13:01:50 1171

转载 linux at91看门狗驱动设置

看门狗的驱动一般来说比较简单,只要做寄存器的设置实现开启、关闭、喂狗功能。本项目中我们使用的是at91sam9g45处理器,带有看门狗定时器。这个看门狗的驱动却比较复杂,应用层想用它的话,将涉及到boot引导设置,uboot配置及驱动,改写驱动程序。下面将逐步说明。1、boot引导(bootstrap-v1.14)由于该看门狗的MR寄存器只能写一次(Only a processor

2015-07-24 22:04:54 2927

转载 VirtualBox问题汇总与解决方法

平时工作与虚拟机打交道比较多,同事都是喜欢用 VmWare,而我习惯了开源免费的 VirtualBox,虽然有时候功能没有那么强悍,但是总还是能够找到解决办法满足自己需求。下面是工作中遇到的几个问题,汇总一下放到这里,希望能够帮到大家,也算是一个自己的备份。1、不能分配 USB设备 ****到虚拟电脑 ****问题描述:不能分配 USB设备 **** 到虚拟电脑 ***

2015-07-23 19:39:20 20712

转载 C语言中如何将二维数组作为函数的参数传递

今天写程序的时候要用到二维数组作参数传给一个函数,我发现将二维数组作参数进行传递还不是想象得那么简单里,但是最后我也解决了遇到的问题,所以这篇文章主要介绍如何处理二维数组当作参数传递的情况,希望大家不至于再在这上面浪费时间。 正文:    首先,我引用了谭浩强先生编著的《C程序设计》上面的一节原文,它简要介绍了如何 将二维数组作为参数传递,原文如下(略有改变,请原谅):

2015-07-09 11:06:09 1323

原创 再次调试STM32F407+DP83848

早在还没有毕业前,就调试过STM32F407+DP83848,这次又调试了一次,居然花了2天时间。STM32支持两种工业级标准的接口,来与外部物理层 PHY模块相连,分别是独立于介质的接口(MII)和简化的独立于接口的接口(RMII)。之前PHY芯片使用的MII模式,现在的这次调试是使用的RMII模式(参考了各方原理图,主要还是以官方开发板的MB786为主)。我还是以一个ST官方手册上的图来说说我

2014-10-31 15:57:29 72147 15

转载 Python适合自己的IDE才是最好的IDE

概述“工欲善其事,必先利其器”,如果说编程是程序员的手艺,那么IDE就是程序员的吃饭家伙了。IDE的全称是Integration Development Environment(集成开发环境),一般以代码编辑器为核心,包括一系列周边组件和附属功能。一个优秀的IDE,最重要的就是在普通文本编辑之外,提供针对特定语言的各种快捷编辑功能,让程序员尽可能快捷、舒适、清晰的浏览、输入、修

2014-08-10 14:25:05 74629 2

原创 我的简单网络爬虫

断断续续地学习了一段时间的Python,把我的网络爬虫程序写在下面,供自己查阅复习吧!  # -*- coding: cp936 -*-import urllibpage = 1head = 'http://blog.sina.com.cn/s/articlelist_1191258123_0_'end = '.html'i = 0while page <= 7: url

2014-08-07 15:01:57 2363

转载 YModem协议

YModem协议:         YModem协议是由XModem协议演变而来的,每包数据可以达到1024字节,是一个非常高效的文件传输协议。下面有一些相关的文档。这里要感谢关注我微博的一些朋友提供的资料。省去了不少时间。         下面先看下YModem协议传输的完整的握手过程:先看下图SENDER:发送方。RECEIVER:接收方。第一步

2014-06-10 14:41:04 32469 2

翻译 STM32F40x/STM32F41x in-application programming using the USART中文版

AN3965应用手册STM32F40x/STM32F41x 使用USART在应用编程 1.简介当大多数闪存存储器系统被安装在终端产品里时,都要求有升级固件的能力。这种能力就叫做在应用编程能力。本应用手册的目的就是为开发IAP应用程序提供一般指导方法。STM32F4xx微控制器能够运行用户特定固件来执行嵌入在微控制器的闪存存储器中的IAP。这种操作的特征是允许使用任何类型的通

2014-06-08 21:00:33 3505

原创 Python初体验

作为一个Python初学者,会遇到的一些问题

2014-05-30 13:30:33 2023

转载 lwip1.4.0 http server实现及POST 实现

lwip1.4.0之http server实现及POST 实现 一、HTTP SERVER的实现 lwip默认的http server 在 apps/httpserver_raw 主要核心文件为 fs.c fs.h(读取相关html相关资源), httpd.c httpd.h httpd_structs.h 为http协议核心文件 首先在LWIP协议栈正常运行后 需要在main

2014-05-16 16:16:36 20726 35

转载 STM32F4 TIM1 7路PWM信号输出

【实验目的】输出7路占空比不同的PWM信号是各个版本ST库必备的例子。本实验的主要目的不是表现ST芯片PWM功能的强大,而是要完成输出的精确计算。【实验内容】输出7路PWM信号,并用示波器测量输出。【实验原理】1、时基单元初始化TIM1和TIM8使用内部时钟时,时钟由APB2提供。但是定时器的时钟并不是直接由APB2提供,而是来自于输入为APB2的一

2014-04-19 10:34:00 13513 2

原创 调试STM32F4 VCP遇到的问题

板子已经做好了,功能就是:利用传感器和GPS精确定位。该板子用到了调试STM32F4 VCP,也就是USB转串口。在移植ST官方程序出现的问题,以及解决方法,罗列如下:1.因为用的下载接口是5针的SWD,下载器是st-link v2 ST-LINK/V2。首先要搞清楚SWD接口与st-link v2的接法。这里关键注意的是SWD的VCC引脚接到st-link v2的第一脚上面。如果不这

2014-04-04 11:16:36 10228

运算放大器权威指南(第3版)

学习运算放大器的好书。每一个对模拟电子技术感兴趣的人都会在本书中找到有价值的内容。该书内容对新手也是容易理解。

2017-09-01

RTCM3.2协议标准

RTCM3.2协议标准

2016-05-10

PACE 产品生命周期优化法

PACE 产品生命周期优化法高清版本

2016-05-10

GPS RF FRONT-END CONSIDERATIONS

讲解的比较详细,英文的

2016-05-10

1_4波长应用ESD

gps天线ESD保护

2016-05-10

Sublime Text Build 3083 x64 Setup 破解

这个是Sublime Text Build 3083 x64 Setup,注册码在64位window下验证通过

2015-07-01

STM32F4循环方式读写bmp085

使用mdk ,修改 STM32F4官方例程, I2c方式, 循环模式 读写操作bmp085气压温度计,其中注意的是写入的地址要正确,还有延时要正确

2014-04-27

eda数字频率计设计

eda 学生学习vhdl语言的基础设计程序和原理图

2009-12-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除