自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(28)
  • 资源 (19)
  • 收藏
  • 关注

原创 Ubuntu20.04升级22.04失败解决

因为需要使用LM-Studio软件,而当前版本0.2.14仅支持Ubuntu22.04,因此没有办法,只能升级系统。使用以下命令***(-c 是检查最新可用版本的意思)***,感觉是刷新了一下本地的版本信息,就好了。后却返回了很奇怪的结果。

2024-02-27 20:55:27 374

原创 【备忘】RK3588中RKNN_YOLOV5的demo运行

原脚本有点问题,需注意,修改的内容需要与1.3相符,我按照文档推荐装的是9,如果装其他的版本,本步骤需要同步变更。2.1 【Demo板】/data目录下新建一个"rknn_yolov5"的目录。1.2 【Demo板】更新rknn_server和librknnrt.so。2.2 【调试机】上传必要文件至【Demo板】1.1 【调试机】下载RKNPU2工程。1.4【调试机】下载交叉编译工具链。1.5 【调试机】更改build脚本。1.3 【调试机】安装交叉编译工具。1.6 【调试机】编译。

2023-04-14 12:55:49 1889

原创 【备忘】Paddle安装后无法找到libcudart.so等cuda/cudnn的库文件

【备忘】Paddle安装后无法找到libcudart.so等cuda库文件。

2023-03-23 11:36:07 1096 2

原创 【备忘】Yolov5/Yolov7数据集笔记

记录YOLOv5和YOLOv7对数据集的存放要求

2022-08-13 10:09:11 1454

原创 【备忘】从零开始搭建Yolo5训练环境

【备忘】从零开始搭建Yolo5训练环境

2022-08-06 17:55:58 486

原创 【备忘】Ubuntu下添加deepin-wine软件包

ubuntu中使用windows软件的快速方法

2022-07-14 14:21:00 235

原创 【备忘】Ubuntu中设置自启动应用

Ubuntu自动成应用笔记

2022-07-14 12:13:18 540

原创 vftpd本地可以连接,远程连接超时的解决

- 问题现象:- 情况1:远程不能连接:C:\Users\HW>ftp 192.168.217.95> ftp: connect :连接超时- 情况2:本地可以连接:think@think-ubuntu:/etc$ ftp 192.168.217.95Connected to 192.168.217.95.220 Welcome to apan's FTP service.Name (192.168.217.95:think): think331 Please specify

2022-04-22 17:52:07 2002

原创 [备忘]Ubuntu升级后删除旧内核

1. 查询正在使用内核版本think@think-ubuntu:~$ uname -r5.11.0-43-generic2. 查询当前系统内有多少个内核think@think-ubuntu:~$ dpkg --list|grep linux-imagerc linux-image-5.11.0-27-generic 5.11.0-27.29~20.04.1 amd64 Signed ke

2022-02-09 13:57:39 1352

转载 【转载】如何理解数据集中【训练集】、【验证集】和【测试集】

转自《吴恩达深度学习笔记(28)-网络训练验证测试数据集的组成介绍》训练,验证,测试集(Train / Dev / Test sets)在配置训练、验证和测试数据集的过程中做出正确决策会在很大程度上帮助大家创建高效的神经网络。训练神经网络时,我们需要做出很多决策,例如:神经网络分多少层;每层含有多少个隐藏单元;学习速率是多少;各层采用哪些激活函数。创建新应用的过程中,我们不可能从一开始就准确预测出这些信息和其他超级参数。实际上,应用型机器学习是一个高度迭代的过程,通常在项目启动时,.

2021-04-02 15:27:28 1898

原创 【分享】一个免费语料库

https://www.openslr.org/resources.php需要中文语料库的小伙伴可以搜索“Mandrain”,我找到5个,分别是:SLR33SLR38SLR47SLR62SLR68下载可以选择中国的镜像站点

2021-04-02 09:23:13 226

转载 【转载】Android进程间通信机制-管道

Android进程间通信机制-管道作者:凯玲之恋链接:https://www.jianshu.com/p/115cf0e519c2来源:简书著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。PIPE和FIFO的使用及原理PIPE和FIFO都是指管道,只是PIPE独指匿名管道,FIFO独指有名管道,我们先看一下管道的数据结构以及他们的使用方式:匿名管道(PIPE) —— Linux下进程通信 匿名管道PIPE命名管道(FIFO) —— Linux下进程通信 命名管道FIF

2021-03-26 20:32:16 446

原创 [Verilog] I2S Master Test Bench

因工作需要,写了一个模拟I2S Master总线协议激励的test bench。左右声道的数据分别为16-bit,采样率为8kHz,因为需要重复发送,所以发送部分写了一个task,仿真中重复调用。event bclk_negedge; // 定义一个event,叫“bclk_negedge”always @(negedge i2s_bclk) begin -> bclk_negedge; // 就是i2s_bclk的下降沿endtask se

2021-02-25 09:11:29 624 1

转载 [转载]Verilog testbench总结

原文地址:https://blog.csdn.net/wordwarwordwar/article/details/538852091. 激励的产生对于testbench而言,端口应当和被测试的module一一对应。端口分为input,output和inout类型产生激励信号的时候,input对应的端口应当申明为reg, output对应的端口申明为wire,inout端口比较特殊,下面专门讲解。1)直接赋值。一般用initial块给信号赋初值,initial块执行一次,always或者.

2021-02-24 12:00:58 812

原创 【备忘】于仕琪的libfacedetection相关

libfacedetection 的Gitee mirror libfacedetection.train 的 Github地址(Gitee没镜像) 极术社区的一篇评测对比《快如闪电的人脸检测——Tengine+libfacedetection》

2020-12-23 17:45:15 123

转载 【转载】CMake 语法 - 详解 CMakeLists.txt

目录1. 初试 cmake 的 helloworld2. 构建生成 .so 动态库3. 链接外部动态库和头文件4. 基于 FFmpeg 开发的 CMakeLists.txt关于 《Android 开发者需要知道的 Linux 知识》与 《从 Linux 内核的角度来看 Binder 驱动》两篇文章被锁定,官方申诉也未给予回复,大家可以看这里:https://blog.csdn.net/z240336124/article/details/89451641https://blog.csdn

2020-12-17 14:24:33 628

原创 RK3399上的Tengine实践笔记

基于Tengine使用人脸关键点算法实现Android手机端人脸匿名功能

2020-12-15 19:38:00 603 1

转载 【转载】如何从源码编译OpenCV4Android库

原文摘自知乎网友稚晖的文章《如何从源码编译OpenCV4Android库》0.前言OpenCV3.1时代开始,Android平台就已经有官方提供的OpenCV库了,理论上我们是不需要再自行编译的。而且OpenCV的官方建议也是直接使用OpenCV4Android库(也就是预编译的libopencv_java3.so),并提供了两套使用方法:利用OpenCV提供的全套Java接口, 在Android Java层调用。 利用OpenCV提供的C/C++ 接口, 在JNI层使用(就跟在PC端V.

2020-12-14 09:06:54 450

转载 【转载】Android Studio工程目录解析

转载自CSDN网友HaiBingAndroid Studio工程目录结构分析(上)Android Studio工程目录结构分析(下)目录转载自CSDN网友HaiBingAndroid Studio工程目录结构分析(上)Android Studio工程目录app目录结构项目中的资源Android Studio工程目录结构分析(下)前言最外层目录下的build.gradleapp目录下的的build.gradleAndroid Studio工程目录结构分析.

2020-12-11 09:22:14 819

原创 解决AndroidStudio启动中gradle sync失败问题

1. 搜索当前最新的gradle版本(https://gradle.org/releases/) 如我搜到的是6.7.1版本2. 搜索本机gradle-wrapper.properties文件$ sudo find ./ -name *gradle-wrapper.properties## 返回 ./AndroidStudioProjects/MyApplication/gradle/wrapper/gradle-wrapper.properties$ sudo nano ./A...

2020-12-09 09:05:05 1037

原创 【笨办法,勿用】Ubuntu 20.04 LTS下安装OpenCV

1. 下载opencv源码sudo git clone https://gitee.com/mirrors/opencv.git2. 添加Ubuntu的官方源(某些库需要,如libjasper-dev)sudo add-apt-repository "deb http://security.ubuntu.com/ubuntu xenial-security main"sudo apt update3. 安装依赖sudo apt-get install build-essentia

2020-12-08 12:00:52 636

转载 【转载】一次搞定 Arm Linux 交叉编译

【转载】一次搞定 Arm Linux 交叉编译原创 HackforFun HackforFun 2019-10-23作者注:文中图片都进行了拷贝,主要是防止原文删除后造成的图片引用丢失,而水印是CSDN系统所加,重申本人尊重原创。为什么要交叉编译<个人见解:不同CPU架构编译出来的可执行文件不同,对于嵌入式设备,因为硬件性能比较弱、资源少,而编译工作量又比较大,直接在上面编译效率太低。因此我们希望在性能高的设备(如X86等机器)上快速编译出一个可以运行在嵌入式设备中的镜像,因此

2020-12-04 14:30:57 2373

转载 【转载】Linux Kernel 和 U-Boot 编译的那些事

转载自:HackforFun HackforFun 2019-10-26之前的文章:《一次搞定交叉编译》 给大家讲了如何安装交叉编译工具链,搭建交叉编译环境。这篇文章主要教大家如何正确的去编译 Linux Kernel、U-Boot 这些著名的开源软件。也许很多同学会说:编译是小 case 啊,我都 make 过成千上万次了!可是你是否有思考过,你编译的时候每一个步骤、执行的每一个命令.....它背后隐藏的原理是什么?为什么要这么做?你的方法是最高效最科学的吗?你的方法是否潜藏着漏洞

2020-12-04 14:01:58 340

原创 如何设置pip安装的国内源

国内PIP安装源永久设置永久设置用户目录下新建一个“.pip”目录在目录下新建一个pip.conf文件think@think-ubuntu:/$ cd ~think@think-ubuntu:~$ mkdir .pipthink@think-ubuntu:~$ cd .pip/think@think-ubuntu:~/.pip$ sudo nano pip.conf[global]index-url = https://pypi.tuna.tsinghua.edu.cn/simple

2020-12-02 09:16:56 136

转载 p2p通信原理及实现

p2p通信原理及实现【转载自CSDN 香烟头】1.简介  当今部署的中间件大多都是在C/S架构上设计的,其中相对隐匿的客户机主动向周知的服务端(拥有静态IP地址和DNS名称)发起链接请求。大多数中间件实现了一种非对称的通讯模型,即内网中的主机可以初始化对外的链接,而外网的主机却不能初始化对内网的链接,除非经过中间件管理员特殊配置。在中间件为常见的NAPT的情况下(也是本文主要讨论的),内网中的客户端没有单独的公网IP地址,而是通过NAPT转换,和其他同一内网用户共享一个公网IP。这种内网主机隐藏在中间

2020-11-24 17:42:21 3253

转载 【转载】【Codec系列】之常用码率控制算法分析

来源:博客园,作者:SoaringLee_fighting链接:https://www.cnblogs.com/SoaringLee/p/10532170.html0、前言    视频编码中的码率控制是一种重要的编码技术,旨在保证码率平稳或者图像质量平稳,在实际应用中,码率控制应用很多,这里分析一下常用的码率控制算法。主要以当前海思IPC芯片中实现的码率控制方式为例进行分析。1、常用码率控制算法分析1.1、CBRCBR(Constant Bit Rate)固定比特率。 即在码率统

2020-10-16 11:29:56 1980

原创 ubuntu20.04 双显卡安装

ubuntu20.04 双显卡安装背景:公司一台12年的服务器,废物利用,装个Linux自己平时学习用问题安装时,遇到:全新的界面设计 ,将会带来全新的写作体验;在创作中心设置你喜爱的代码高亮样式,Markdown 将代码片显示选择的高亮样式 进行展示;增加了 图片拖拽 功能,你可以将本地的图片直接拖拽到编辑区域直接展示;全新的 KaTeX数学公式 语法;增加了支持甘特图的mermaid语法1 功能;增加了 多屏幕编辑 Markdown文章功能;增加了 焦点写作模式、预览模式、简洁写作

2020-10-13 14:06:13 2464 1

转载 linux 访问windows共享文件夹的方法

linux 访问windows共享文件夹的方法【转载】博客园,cb2016 徐传斌,原文链接 https://www.cnblogs.com/xcb0730/p/9752208.htmllinux 访问windows共享文件夹的方法,命令行临时性sudo mount -t cifs -o username=Administrator,password=198106 //<192.168.0.81>/ /mnt/share<192.168.0.81> 是要共享的Wind

2020-10-13 13:49:59 758

PortableGit-2.34.1-64-bit.7z.exe

Git Windows 免安装版, 64-bit,V2.34.1

2021-12-29

2020行业研究报告-鲜枣课堂整理(密码1024).zip

2020行业研究报告-鲜枣课堂整理

2021-03-11

5G行业分析报告合集(鲜枣课堂整理)密码1314.zip

5G行业分析报告合集(鲜枣课堂整理)

2021-03-11

opencv_python-4.4.0.46-cp38-cp38-manylinux2014_x86_64.whl

本地opencv_python_4.4.0.46 linux本地安装包 sudo pip3 install /opencv_python-4.4.0.46-cp38-cp38-manylinux2014_x86_64.whl

2020-12-08

MS9282 External Datasheet V1.2.pdf

MS9282是一款国产化的VGA转HDMI桥片,已被市场大量使用验证。附件是硬件手册,供评估和设计用。

2020-09-16

Mali_Offline_Compiler v6.4.0.504d49

Mali Offline Compiler v6.4.0.504d49 ,也可以从ARM官网下载

2019-08-27

Android Gralloc Module Source Code for Mali Midgard GPUs.zip

Android Gralloc Module Source Code for Mali Midgard GPUs

2019-08-27

ARM_MobileStudio_Linux.txt

ARM MobileStudio Linux版,也可以从ARM官网下载,相对较慢。

2019-08-27

ARM_MobileStudio_Win64.txt

ARM Mobile Studio Windows版,仅适用于64-bit系统。ARM官网也可以下载,比较慢。

2019-08-27

nRFToolbox-2.7.2(Android源码及apk)

https://codeload.github.com/NordicSemiconductor/Android-nRF-Toolbox/zip/master apk:https://github.com/NordicSemiconductor/Android-nRF-Toolbox/releases

2019-05-06

Anaconda3-5.3.1-Windows-x86_64 (Python3.x版本)

Anaconda3-5.3.1-Windows-x86_64,Python3.x版本,Windows安装包

2019-04-23

mysql-installer-community-8.0.15.0

mysql-installer-community-8.0.15.0 Windows版完整安装软件。

2019-02-23

动态存储器(DRAM)技术综述

一篇很好的有关动态存储器(DRAM)的原理性文档,非常适合作为DRAM技术的入门文档。

2019-02-22

PLL式数字锁相环设计源程序

PLL式数字锁相环设计源程序,基于Altera平台,供初学入门者参考使用。

2019-02-22

FPGA设计基础教程

FPGA基础设计教程,适合FPGA设计初学者作为入门学习文档

2019-02-22

RGMII协议,V2.0

RGMII协议V2.0版本,可作为以太网硬件设计中RGMII总线参考文档

2019-02-22

I2C控制器的HDL实现,Xilinx

I2C控制器的HDL实现,Xilinx IP例程,Verilog语言编写,含简单说明文档,

2019-02-22

I2C总线规范V2.1中文版

I2C总线规范V2.1中文版,可可作为硬件设计中涉及I2C总线规范的参考文档

2019-02-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除