自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(42)
  • 资源 (4)
  • 收藏
  • 关注

转载 DSP 6678的中断系统

1、6678的中断系统1.1、系统事件在谈SRIO的中断系统之前,先说一说6678DSP中断系统。C6678的CPU中断是由C66x CorePac Interrupt Controller配置的。该中断控制器(C66x CorePac Interrupt Controller),下文直接称66x中断控制器。 66x中断控制器允许将最多128个系统事件映射到12个CPU中断上(CPUINT4 - CPUINT15),或映射到CPU异常,或映射到高级仿真逻辑上。。 上面说的CPU异常和高级仿真逻辑都.

2020-09-02 17:02:28 5633 1

转载 linux下的timerfd机制

timerfd是Linux为用户程序提供的一个定时器接口。这个接口基于文件描述符,通过文件描述符的可读事件进行超时通知,所以能够被用于select/poll的应用场景。timerfd是linux内核2.6.25版本中加入的借口。timerfd、eventfd、signalfd配合epoll使用,可以构造出一个零轮询的程序,但程序没有处理的事件时,程序是被阻塞的。这样的话在某些移动设备上程序更省电...

2019-11-13 11:14:47 1382

转载 利用LinuxPTP进行时间同步(软/硬件时间戳)

PTP的一些名词PTP域中的节点称为时钟节点,PTP协议定义了以下三种类型的基本时钟节点:OC(Ordinary Clock,普通时钟):只有一个PTP通信端口的时钟是普通时钟。BC(Boundary Clock,边界时钟):有一个以上PTP通信端口的时钟。TC(Transparentclock,透明时钟):与BC/OC相比,BC/OC需要与其它时钟节点保持时间同步,而TC则不与其它时钟...

2019-11-06 15:19:06 18618 9

转载 Linux系统调用(syscall)原理

引言:分析Android源码的过程中,要想从上至下完全明白一行代码,往往涉及app、framework、native一直到kernel,可能迷失到代码世界,明白了系统调用原理,或许能帮你峰回路转,找到进入kernel函数的入口。本文主要讲解ARM架构相关源码:/bionic/libc/kernel/uapi/asm-arm/asm/unistd.h/bionic/libc/arch-a...

2019-11-01 10:39:48 615

原创 特征值和特征向量

一. 通俗理解假设我们现在用矩阵A对坐标系进行线性变换,坐标系中变换前后方向不变的向量即是矩阵A的特征向量。最简单的例子,考虑将一个正方形木框挤压成一个菱形,两条对角线在挤压前后方向不变,只是长度伸缩。对于一个长宽不等的矩形,沿着某一条对角线挤压,挤压前后该对角线方向不变,只是长度伸缩,另一条对角线方向却会变化。变换前后方向不变的向量即为特征向量。也可以将线性变换理解为沿着特征向量进...

2019-10-27 10:44:37 355

原创 CCS5.5如何配置simulator模拟器调试

创建最简单的HelloWorld工程,选择实际对应的硬件平台信息,记得展开“Advanced settings”添加cmd文件;展开新建的CCS工程,并打开targetConfigs目录下的.ccxml文件,在该文件中做如下配置:Connection:Texas Instruments SimulatorBoard or Device : C6678 Device Functiona...

2019-09-23 14:29:08 8742 1

原创 python代码在无循环的情况下会多次重复执行

最近执行一个python文件时发现,代码实际按照正常逻辑运行,但是多个代码段会重复执行多次,经查找相关资料,确定大致问题与import导入模块有关(但奇怪的是import某些模块会导致重复执行,有的则正常,后续待研究)。当定义一个方法,并在后面调用执行的时候,python会在调用的时候先将函数内的程序先无差别的执行一遍,再进行用户真正需要的执行。在python中,文件后缀为.py的文件有两...

2019-09-21 17:07:19 4808

转载 linux下raid(md)驱动源码解析

md源代码解析-part1最近花了一段时间认真地学习了一下md代码,并且在原代码的基础上开发了一系列的新功能,这些新功能让md更完善、更适合于企业大容量存储,通过增加阵列缓存和bitmap优化大大提升了存储速度,提高了数据的可靠性,在任何掉电的情况下保证数据一致性,超级块异常情况下完全不影响阵列使用,完全控制了踢盘问题,简化了用户操作。简单地概括一下,就是让存储不再有门槛。说了这么多,其实想表...

2019-09-04 16:20:20 4088 1

转载 kernel:NMI watchdog: BUG: soft lockup - CPU#6 stuck for 28s! CentOS7linux中内核被锁死

内核软死锁(soft lockup)bug原因分析Soft lockup名称解释:所谓,soft lockup就是说,这个bug没有让系统彻底死机,但是若干个进程(或者kernel thread)被锁死在了某个状态(一般在内核区域),很多情况下这个是由于内核锁的使用的问题。 Linux内核对于每一个cpu都有一个监控进程,在技术界这个叫做watchdo...

2019-04-30 16:04:41 39423 2

转载 linux下PCI设备的注册及初始化流程分析

在前面我们已经看到,PCI的注册就是将PCI驱动程序挂载到其所在的总线的drivers链,同时扫描PCI设备,将它能够进行驱动的设备挂载到driver上的devices链表上来,这里,我们将详细地查看这整个流程的函数调用关系。 pci_register_driver()->__pci_register_driver()[Copy to clipboard...

2019-04-20 11:00:53 2238

转载 RAID0 RAID1 RAID10 RAID5 JBOD详解

raid(Redundant Array of Independent Disk 独立冗余磁盘阵列)技术是加州大学伯克利分校1987年提出,最初是为了组合小的廉价磁盘来代替大的昂贵磁盘,同时希望磁盘失效时不会使对数据的访问受损 失而开发出一定水平的数据保护技术。raid就是一种由多块廉价磁盘构成的冗余阵列,在操作系统下是作为一个独立的大型存储设备出现。raid可以充分发 挥出多块硬盘的优势,可以提...

2019-03-01 10:40:21 8701

原创 在飞腾平台移植SNMP服务过程

1. 从官网下载指定版本的SNMP,此处选择5.7.3,地址为:https://sourceforge.net/projects/net-snmp/files/net-snmp/5.7.3/2. 解压:tar -zxvf net-snmp-5.7.3.tar.gz,解压后生成一个net-snmp-5.7.3目录;3. 设置系统时间:date -s "2019-01-02 01:01:01"...

2019-02-19 15:50:48 1972

原创 Qt Creator出现cannot find -lGL的问题的两种解决方法

在linux下安装的qtcreator,在编译工程时,报错:“cannot find -lGL”,这种错误一般有如下两种原因:一种是没有安装libGL库,那么就重新安装:sudo apt-get install libgl1-mesa-dev一种是装了,但是路径不对,那么需要重新配置一下路径:先通过locate查询下,的确有libGL库,如下示:$ locate libGL/us...

2019-02-19 15:48:27 9139 2

转载 在飞腾平台安装测试SNMP服务

一、安装SNMP1.1、下载Net-SNMP的源代码  选择一个SNMP版本,比如5.7.1,下载地址如下:https://sourceforge.net/projects/net-snmp/files/net-snmp/5.7.3/,如下图所示:1.2、编译安装1.  解压:tar -zxvf net-snmp-5.7.3.tar.gz,解压后生成一个net-snmp-5.7...

2019-02-19 13:59:13 718

转载 win10安装docker(配置国内镜像加速)并实现scrapy通过splash爬取动态网页

1、Docker溯源Docker的前身是名为dotCloud的小公司,主要提供的是基于 PaaS(Platform as a Service,平台及服务)平台为开发者或开发商提供技术服务,并提供的开发工具和技术框架。因为其为初创的公司,又生于IT行业,dotCloud受到了IBM,亚马逊,google等公司的挤压,发展举步维艰。于是,在2013年dotCloud 的创始人,年仅28岁的Solo...

2019-02-19 01:10:01 3303 1

转载 STM32的8种GPIO输入输出模式深入详解

 输入模式    -输入浮空(GPIO_Mode_IN_FLOATING)    -输入上拉(GPIO_Mode_IPU)    -输入下拉(GPIO_Mode_IPD)    -模拟输入(GPIO_Mode_AIN)输出模式    -开漏输出(GPIO_Mode_Out_OD)    -开漏复用功能(GPIO_Mode_AF_OD)    -推挽式输出(GPI...

2018-12-26 20:24:20 1195

转载 DES加密算法

1. DES算法简介DES算法为密码体制中的对称密码体制,又被称为美国数据加密标准。DES是一个分组加密算法,典型的DES以64位为分组对数据加密,加密和解密用的是同一个算法。密钥长64位,密钥事实上是56位参与DES运算(第8、16、24、32、40、48、56、64位是校验位,使得每个密钥都有奇数个1),分组后的明文组和56位的密钥按位替代或交换的方法形成密文组。DES算法的主...

2018-12-18 10:56:20 1118

转载 深入解析EXT2文件系统(linux下手动创建文件系统)

1. 首先生成一个ext2文件系统我在我磁盘空间有限的Ubuntu中,划出500M的空间来从头学习ext2 文件系统。dd命令用来创建一个文件,不多说了,通过执行这个dd命令生成了一个全零的大小为512000*1KB的文件,即500MB 的文件。losetup是设定循环设备(loop service)的,循环设备可以将文件模拟成块设备。然后在块设备上建立我们的ext2文件系统,来进行我...

2018-11-13 09:16:12 1522

转载 Ext2文件系统格式

The Second Extended File System(ext2)文件系统是Linux系统中的标准文件系统,是通过对Minix的文件系统进行扩展而得到的,其存取文件的性能极好。  在ext2文件系统中,文件由inode(包含有文件的所有信息)进行唯一标识。一个文件可能对应多个文件名,只有在所有文件名都被删除后,该文件才会被删除。此外,同一文件在磁盘中存放和被打开时所对应的inode是不...

2018-11-12 15:28:28 1321

转载 DCB工作机制解析三(CN)

一、概述CN来自于IEEE802.1Qau,它的目地是为带宽-时延积的量级为5Mbit或更小值的网络域中的长时间存在的流增加拥塞管理功能。这种流常存在于DCB网络,存储网络,计算机集群网络等环境中,因而DCB也常用在这些网络环境中。为了使CN技术可以工作,网络中的网桥以及终端都需要支持CN。该技术可用于是DCB的一部分,它用于避免网络拥塞,以减少丢包和降低网络的延迟(拥塞会导致丢包,丢包后...

2018-10-27 10:30:47 3274

转载 DCB工作机制解析二(ETS,DCBX)

一、ETS1.概述ETS是DCB的一部分,它作用于IEEE 802.1Q中转发规则部分的传输选择部分。如图所示ETS为DCB网络环境中的终端和网桥定义了基于优先级的处理模型以及带宽分配模型。利用ETS提供的这种功能,可以为网络中的不同类型的流量提供不同的服务和带宽。为了提供这些功能:1. ETS定义了一些带宽配置参数用于进行带宽配置;2. 需要提供一种方法和邻居交互配置...

2018-10-27 09:51:33 3994

转载 DCB工作机制解析一(PFC)

随着IT企业的发展,很多企业、政府机构、组织机构都部署有自己的数据中心,用来满足自己的存储、计算等IT需求。在数据中心网络当中,典型的存在着以下两种流量:存储数据流:要求无丢包;普通数据流:允许一定的丢包和时延。很显然两种数据流对服务的要求是不同的,因而传统的数据中心也往往会部署两个网络来满足对数据中心的这些需求。这种网络在一定意义上来说是冗余的,会造成资源的浪费,当数据中心规模扩大时...

2018-10-27 01:35:02 5252

转载 ping包的详细转发过程

网络说明:1) 网络中所有二层口都为ACCESS口(包括设备间互联的二层口),其对应VLAN如图中连接所示。2)L2-1下链A-1端口为0/1,下链B-1端口为0/2;上链L3-1端口为0/23,上链L3-2端口为0/24。    L2-2下链A-2端口为0/1,下链B-2端口为0/2;上链L3-1端口为0/23,上链L3-2端口为0/24。3) L3-1和L3-2连接L2-1...

2018-10-25 09:23:06 5403

原创 linux下如何打包链接文件

我们在打包linux文件夹时,经常出现打包后的文件在windows下解压出来丢失了很多文件,像linux下的链接文件都无法正常打包,先看下tar的常用参数tar 参数-c :建立一个压缩文件的参数指令(create 的意思)。-x :解开一个压缩文件的参数指令。-t :查看 tarfile 里面的文件。特别注意,在参数的下达中,c/x/t 仅能存在一个,不可同时存在, 因为不可能同时压...

2018-10-21 10:57:39 2482

转载 网卡驱动收发包过程图解

网卡网卡工作在物理层和数据链路层,主要由PHY/MAC芯片、Tx/Rx FIFO、DMA等组成,其中网线通过变压器接PHY芯片、PHY芯片通过MII接MAC芯片、MAC芯片接PCI总线PHY芯片主要负责:CSMA/CD、模数转换、编解码、串并转换MAC芯片主要负责:1. 比特流和帧的转换:7字节的前导码Preamble和1字节的帧首定界符SFD2. CRC校验3. Pack...

2018-10-13 11:49:21 11713 4

转载 网卡多队列技术与RSS功能介绍

多队列网卡是一种技术,最初是用来解决网络IO QoS (quality of service)问题的,后来随着网络IO的带宽的不断提升,单核CPU不能完全处满足网卡的需求,通过多队列网卡驱动的支持,将各个队列通过中断绑定到不同的核上,以满足网卡的需求。常见的有Intel的82575、82576,Boardcom的57711等,下面以公司的服务器使用较多的Intel 82575网卡为例,分析一下...

2018-10-13 11:11:04 3201

原创 linux下如何实现开机自动加载驱动模块

一. 替换原有模块模块名:ixgbe.ko1. 添加需开机自启动加载的驱动模块:打开vim/etc/modules 添加对应模块名称: ixgbe(注意不要ko后缀)2. 拷贝驱动模块到对应的目录下将模块放到对应的位置,注意将驱动按类别放,因万兆网卡属于pci设备,因此就将其放在了drivers下的pci下。具体目录如下:/lib/modules/2.6.35-2...

2018-10-09 15:04:24 17200 2

原创 为什么modprobe一直提示无法找到对应的ko文件

加载内核驱动模块有两种方式,一种是通过insmod,一种是 modprobe,后者的好处 是他可以检测对应驱动模块的依赖项,但是,在使用中发现,使用modprobe经常提示指定目录下无法找到该驱动模块,但实际上该目录下已有该文件……此处,需注意这两个命令使用的一点区别:insmod:需指定到对应驱动模块的目录下,目录可以不固定, 加载模块时需带 后缀,如:先cd到ixgbe.ko驱动模...

2018-10-09 12:01:50 3400

原创 linux下的应用模块传参及驱动模块传参的区别

在用户态下编程可以通过main(intargc,char*argv[])来传递命令行参数,而编写一个内核模块则通过module_param ()来传递参数一. 应用程序命令行传参运行结果如下:二. 内核模块传参module_param(name, type, perm)是一个宏,表示向当前模块传入参数。参数用 module_param 宏定义来声明, 它定义在 module...

2018-09-11 22:24:34 692

转载 TortoiseGit操作本地仓库的详细使用方法

常用命令及说明如下:操作 说明 Fetch 从远程获取最新版本到本地不会自动merge Merge 可以把一个分支、标签或某个commit的修改合并到现在的分支上 Pull 从远程获取最新版本并与本地代码合并相当于fetch+merge Push 将本地分支的更新推送到远程主机 Commit 将修改提交到本地仓库中 一、创建仓库1...

2018-09-10 16:32:19 5603

转载 TortoiseGit安装和使用的图文教程

TortoiseGit 简称 tgit, 中文名海龟Git. 海龟Git只支持神器 Windows 系统, 有一个前辈海龟SVN, TortoiseSVN和TortoiseGit都是非常优秀的开源的版本库客户端. 分为32位版与64位版.并且支持各种语言,包括简体中文(Chinese, simplified; zh_CN).注意: XP系统已经不支持最新的安装包,请尽量使用 Win7 或更高版...

2018-09-10 13:17:49 170

转载 Git安装与配置

一. Git安装与配置首先打开 Git 的官方网站: http://git-scm.com/ 然后找到下载页面: http://git-scm.com/downloads 找到Windows版本的下载页面: http://git-scm.com/download/win 因为准备使用TortoiseGit做图形客户端,所以就不选择Git GUI 版本. 打开Windows的下载页面后,应...

2018-09-10 11:19:16 117

转载 如何在linux下搭建mips交叉编译环境

【参考原文】http://blog.csdn.net/gubenpeiyuan/article/details/7895469由于要在本地编译程序,在嵌入式系统上运行,因此需要在本地装编译器,目前主要需要mipsel-linux-gcc。之前一直以为可以用apt-get install找到,结果找了好久找不到,发现还是得自己编。  主要是参考上面的那个链接,然后这对自己需求改了改配置。...

2018-09-06 20:25:41 14203 1

原创 如何在linux下安装anaconda

1. 先从官网下载linux版本的anaconda,地址为:https://www.anaconda.com/download/2. 安装anaconda,cd到其目录并执行如下命令:bash Anaconda2-5.0.0.1-Linux-x86_64.sh3. 安装过程中一直选择默认配置即可4. 安装完之后,运行python,此时仍是ubuntu自带的python信息,因此要使...

2018-08-19 23:10:52 12378

原创 Pycharm下添加scrapy库报错解决方法

pycharm可很方便查找安装第三方包,其可以帮你找到大多数的第三方包,不需要你自己去网上查找和下载。他会自动查找符合你添加python解释器的第三方模块。       然后就要告诉你在哪里可以利用pycharm安装第三方的包了,首先打开pycharm的左上角的File然后找到setting的选项打开了setting之后就像上图选定的蓝色的选项,然后就会出现已经安装好了的第三方的包。有...

2018-08-19 15:02:45 3281

原创 python命令行下安装scrapy库

安装好python环境及基本工具库后执行:pip install scrapy,报错如下:解决方法一 :到http://www.lfd.uci.edu/~gohlke/pythonlibs/网站下载一个安装包,这里面有很多封装好的python模块的运行环境。下载完成后,运行:pip install numpy-1.13.1+mkl-cp36-cp36m-win_amd64.whl(...

2018-08-19 14:47:44 486 1

转载 8b/10b规则

    为什么要使用8B10B编码呢?是因为8B10B编码的特性之一就是保证直流平衡,即编码后二进制数据流中“0”和“1”的数量基本保持一致,因为我们知道当高速串行流的逻辑1和逻辑0有多个位没有产生变化时(即所谓的长连0和长连1),信号的转换就会因为电压位阶的关系而造成信号错误,直流平衡最大好处就是可以克服这个问题。    8B10B编码是怎么做到DC平衡的呢?转换的时候,连续的“0”或者“1”数...

2018-06-24 09:52:46 5583

转载 万兆网卡-CX4接口 CX4线

    intel现今基于-CX4接口设计万兆网卡有两种,一种是以intel 82597芯片为核心的intel PXLA8591CX4;另一种是以intel 82598片为核心的EXPX9502CX4    10GBASE-CX4是在2004年经过IEEE 802.3ak任务小组审批的标准是第一个基于铜线接口的10GbE规范。CX4可以满足市场对于在无需光纤介质的传输距离的应用中使用成本极低的10...

2018-06-21 17:27:30 4248

原创 vxworks启动过程

一. 引导Bootstrap在ROM中执行 romInit.s:romInit        目标板加电后,程序指针指向RESET中断程序入口处,开始执行初始化程序romInit.s,设置机器状态字及其他硬件相关寄存器,关闭中断,禁止程序和数据CACAHE,初始化内存,并设置堆栈指针,保存启动类型,调用romStart.c中的romStart().bootInit.c:romSta...

2018-06-11 00:27:21 1519

原创 vxworks的三种映像形式

        VXWORKS是一种灵活的、可裁剪的嵌入式实时操作系统,用户可以根据需要创建自己的VXWORKS映像,由它来引导目标系统,而后下载并运行应用程序。        根据应用场合不同,vxworks映像可以分成三类:可加载的映像、基于ROM的映像和驻留ROM映像。1.1可加载的映像        该种方式对应vxworks下的image工程,因此在编译image工程时,实际上romIn...

2018-06-10 22:48:52 1983

键盘扫描与数码管及点阵显示设计课程设计报告

利用VHDL编写的可以实现键盘扫描,数码管显示,以及点阵显示,

2011-11-07

调幅接收机课程设计报告

高频电子课程设计报告,,调幅接收机,multisim仿真

2011-11-07

步进电机课程设计报告

利用C语言编写的,控制步进电机正反转,及加速减速的课程设计报告。。。

2011-11-07

数电课程设计课题交通信号灯控制器电路设计(EWB仿真)

此个交通灯电路是利用161作控制模块,译出四个状态以用来并行控制交通信号灯显示模块和数码管显示模块,并加12个非门以用于电路延时。。。相信大家做数电课程设计的参考是可以用的上的

2010-12-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除