自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (21)
  • 收藏
  • 关注

原创 条件概率、贝叶斯公式理解

事件A和事件B同时发生的情况,即A、B交集记作AB。事件A的概率记作:P(A)=A/Ω,事件B的概率记作P(B)=B/Ω。贝叶斯公式解决的问题是在事件A发生的条件下求Bi的概率。事件A与Bi同时发生记作ABi,同时发生的概率P(ABi) = ABi / Ω。由条件概率的定义可知,在B发生的条件下将样本空间限定在下图中B的空间内,则P(A|B) = AB/B。事件A和事件B同时发生的概率P(AB) = AB/Ω,也称作乘法公式。事件Bi发生条件下,事件A发生的概率P(A|Bi) = ABi / Bi。

2023-01-01 13:42:53 4871

原创 LDPC概率译码原理实例说明

校验方程方程的计算规则是二元求和运算,因此,校验方程中校验节点为’1’的概率就是,校验结果奇数个’1’;Lr11 = a*sgn({Lq12,Lq15,Lq18})*min|({Lq12,Lq15,Lq18})|,计算不包含Lq11节点。Lr12 = a*sgn({Lq11,Lq15,Lq18})*min|({Lq11,Lq15,Lq18})|,计算不包含Lq12节点。Lr00 =a*sgn({Lq05,Lq06,Lq09})*min|({Lq05,Lq06,Lq09})|,计算不包含Lq00节点。

2022-12-31 22:44:55 689

原创 PC-CFR原理

PC-CFR原理,matlab实现

2022-11-22 15:47:26 1388 2

数字调制解调技术的MATLAB与FPGA实现——Xilinx版 源码

数字调制解调技术的MATLAB与FPGA实现——Xilinx版 源码.

2018-08-17

数字调制解调技术的MATLAB与FPGA实现中文高清完整版PDF_杜勇编著.pdf

数字调制解调技术的MATLAB与FPGA实现中文高清完整版PDF_杜勇编著.pdf

2018-08-17

基于XILINX FPGA的OFDM通信系统基带设计

基于XILINX FPGA的OFDM通信系统基带设计 含光盘资料。。。。。。。。。。。。。。。。。。。

2018-07-27

Xilinx时序约束

Xilinx时序约束相应文档,内容丰富。

2018-07-27

FPGA设计高级技巧Xilinx篇 华为

FPGA设计高级技巧Xilinx篇 华为的培训资料。

2018-07-27

Xilinx++FPGA设计权威指南_13010756_北京市:清华大学出版社_2012.05_何宾编著

Xilinx++FPGA设计权威指南_13010756_北京市:清华大学出版社_2012.05_何宾编著 包括光盘资料

2018-07-27

多速率数字信号处理相关书籍文档

此文件包括11个文档,理论结合部分实际应用。 1986_克劳切_多抽样率数字信号处理 MultirateIQSignalProcessing Software radio program 第四代移动通信基站数字上变频和峰均比控制技术_陈晓亮 多采样信号处理multirate digital signal processing 多抽样率数字信号处理及其FPGA实现 多抽样率信号处理 多速率数字信号处理和滤波器组理论__王光宇著_北京:科学出版社_P235_2013.11_13453351 多相滤波器的设计及仿真 基于多相滤波器原理的多通道软件无线电跳频收发信机的设计与实现_张志勇 数字信号处理:基于计算机的方法(第3版)

2018-06-28

多抽样率数字信号处理及其fpga实现

多抽样率数字信号处理及其fpga实现...

2018-06-28

数字滤波器的MATLAB与FPGA实现——杜勇

数字滤波器的MATLAB与FPGA实现——杜勇

2018-06-28

TI的数据分析软件,,,,,,,,,,,,,,

WaveVsion 5 TI的数据分析软件,对ADC采集数据做FFT 运算,计算出ADC性能指标参数

2018-06-08

Verilog无符号数和有符号数的运算

Verilog无符号数和有符号数的运算

2018-06-08

Vivado约束指导手册

Vivado约束指导手册

2018-06-08

轻松实现高速串行I/O

轻松实现高速串行I/O

2018-06-08

fpga电源管理....................

fpga电源管理..

2018-06-08

数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘)

数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘),第二章到第9章内容 包括MATLAB代码和FPGA代码

2018-06-08

vivado fir IP核的使用

Vivado fir IP核的使用手册 内容详细,方便查阅.,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,

2018-06-08

sysgen-user

Vivado 数字信号处理,联合MATLAB的simulak,自动生成Verilog

2018-06-08

基于MSP430内嵌温度传感器的温度告警系统

基于MSP430内嵌温度传感器的温度告警系统

2010-07-10

ads5237 10位 AD转换中文资料

ads5237 10位 AD转换中文资料

2009-11-24

键盘数码管仿真及键码识别

proteus仿真,及键码的识别,键盘扫描。

2009-08-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除