自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

小小黑的博客

学习笔记

  • 博客(46)
  • 资源 (8)
  • 收藏
  • 关注

原创 Linux home目录下的隐藏文件夹.lsbatch有什么用?

Linux home目录下的隐藏文件夹.lsbatch如下解释:URL:https://www.ibm.com/support/pages/node/701141

2020-09-05 18:00:01 3115

原创 UVM学习之:UVM库中常用方法总结

UVM库中常用方法总结1. UVM-1.2库中常在基类中定义返回扩展类对象的方法类的预定义,在定义基类时有时候需要用到将来所定义的扩展类,此时需要进行类的预定义,如以下类并没有真正被定义:预定义的类可被基类的方法使用从而返回一个类的对象。typedef class uvm_factory;typedef class uvm_default_factory;typedef class ...

2019-04-22 14:25:37 4351

原创 SystemVerilog中的局部变量与全局变量

关键字local、protected、static1. 公有与私有在SV中,所有成员默认都是 public 类型的,除非显示的使用关键字 local/protected 来声明。local与protected均可对方法或者属性进行修饰说明。local 表示的成员或方法只对该类的对象可见,扩展类以及类外不可见;protected 表示的成员或方法对该类以及扩展类可见,对类外不可见;...

2019-04-15 19:47:52 10178 1

原创 UVM学习之:uvm_object类

uvm_object类是所有UVM数据以及层次结构的基类,其主要的角色是定义一系列的方法,比如一些公共的操作:create(), copy(), compare(), print(), record()等。其中的 create() 与 get_type_name() 虚方法被简单实现,不再是纯虚方法。1. 层次结构2. 类的声明virtual class uvm_object ext...

2019-04-15 18:25:52 8692 1

原创 SystemVerilog中类型转换$cast的使用

类型转换$cast1. $cast做枚举类型转换:枚举类型的缺省类型为双状态int,可以使用简单的赋值表达式把枚举类型变量的值直接赋值给非枚举变量 如int,但SV不允许在没有进行显示类型转换的情况下把int变量直接赋值给枚举变量。SV要求显式的类型转换的目的在于让你意识到可能的数据越界情况。typedef enum bit[1:0] {RED=0,BLUE,GREEN} COLOR_E...

2019-04-13 17:44:07 28862 6

原创 SystemVerilog中子程序调用与参数传递

在SystemVerilog中新定义一种端口类型 "ref "传递变量地址而非变量本身。1. 传递值传递值是子程序传递参数的默认机制。这种传递机制将每个参数拷贝到子程序区域,如果子程序是 automatic 类型,那么子程序会在其本身的堆栈中保留一个局部的参数副本。参数在子程序内部的修改对外部不可见。参数巨大时使用该方式传递参数是不可取的。// 传递值的方式如下:function...

2019-04-13 17:11:04 7074

原创 SystemVerilog中virtual关键字常见用法

在验证工作中经常使用"virtual"关键字,下面列举该关键字应用场景。主要应用场景在virtual class,virtual interface 以及 virtual task/function。OOP三大特性(封装,继承,多态)中的 多态 在SystemVerilog中一般通过 “virtual” 关键字实现。通过virtual声明的类,接口,任务与函数,其本身自带一些方法或者函数...

2019-04-11 18:06:32 23267 6

原创 expect安装与使用

Expect是在Tcl基础上创建起来的,提供了一些Tcl所没有的命令,可以用来做一些linux下无法做到交互的一些命令操作,在远程管理方面发挥很大的作用。1. Ubuntu安装expectA. Tcl 安装主页: http://www.tcl.tk下载地址: http://www.tcl.tk/software/tcltk/downloadnow84.tml(1) 下载源码包wget ...

2019-04-10 14:37:46 2007

原创 Makefile中参数化函数及其调用

Makefile常用函数之创建参数化函数1. 使用 call 创建新的参数化函数```语法: $(call <expression>,<parm1>,<parm2>,<parm3>...)```其中表达式可以是命令包define-endef名称,parm1在引用时使用$(1),parm2在引用时使用$(2),parm3在引用时使用$(...

2019-04-10 13:58:03 1491

原创 linux中不同脚本获取命令行参数个数

>>>有时候我们需要从命令行获取脚本的参数个数,如果不满足,那么退出不再继续该执行脚本。bash与shell脚本csh脚本expect脚本bash或者shell脚本:使用 “$#” 返回参数个数,定义变量使用 first_arg=$1 无需使用set。【注意】shell脚本自身值定义了9个位置变量,如果想要使用多于9个位置变量(参数个数),请参考博主的另一篇...

2019-04-10 11:33:49 3570

原创 VCS命令行中的-f,-F以及-file参数区别

如题:命令行使用 vcs -full64 -h获得manual可知,三者区别不大,详情如下:-f <filename>指定包含源文件和编译时选项的路径名列表的文件。-F <filename>与-f选项相同,但允许您指定文件的路径,文件中列出的源文件不必是绝对路径名。-file filename此选项适用于使用-f或-F选项指定的文件中...

2019-04-09 17:12:36 10566 4

原创 shell中使用case以及shift处理命令行参数

shell或bash使用case与shift处理多个命令行参数1. shift命令在bash中定义了9个位置变量,分别使用$1,$2,…$9,借助 shift 命令可以访问多于9个的命令行参数。shift命令一次移动参数的个数由该命令后的数字参数决定,如shift 2 可以将命令行参数 $3 移动至 $1 。2. shell中的case语句case语句特点以case…esac...

2019-04-09 16:23:50 5962

原创 Ubuntu添加开机启动脚本

Synopsys的EDA软件需要开机启动license,每次需要手动启动,很麻烦,所以添加开机启动脚本lm.sh新建脚本文件lm.sh#!/bin/sh/home/eda/.tools/scl/linux64/bin/lmgrd -c /home/eda/.tools/license/Synopsys.dat -l /home/eda/.lm.log设置权限sudo ...

2018-08-16 22:01:25 9993

原创 库文件libmng.so.1缺失解决办法

在Ubuntu14.04下装DC版本K-2015.06,发现不能找到以下一个或者多个库文件(以一个为例):libmng.so.1找不到是因为库比较新,而你的工具所需要的库比较老,在不改变原来库的基础上让使用软连接即可。解决办法: 首先切换到 /usr/lib/x86_64-linux-gnu查看有没有对应类似的库,如果有那么软连接即可,没有需要先安装相关库文件再软连接(如果...

2018-08-16 20:29:56 6452

原创 Verdi基础知识整理

Verdi主要在以下方面使用Verdi使用情形:IC验证工程师(Debug)IC设计工程师(Review)学习目标主要以下三方面:能够生成fsdb波形;能够查看fsdb波形;能够追踪RTL代码。生成FSDB波形三个变量 VERDI_HOME/NOVAS_HOME:仿真器默认,且为设置PATH做准备PATH:让系统(Linux)找到verdiLD_LIB...

2018-07-08 18:11:25 113004 6

原创 vim使用gf(go file)跳转文件

vim中移动光标至parameter.sv可以使用gf跳转到`include”parameter.sv”所包含的文件中,便于查看。使用下面几种方法可以回退到源文件:使用”ctrl+^”使用”:buffers“回车后,查看当前有几个buffer并且处在在第几个buffer上面。如果想跳到”buffer1”,直接使用”:b1“,回车键后即可跳到buffer1上,其余buffer同理。...

2018-07-04 09:03:51 22379

原创 SystemVerilog 利用DPI调用其他语言

SystemVerilog 利用DPI-C调用其他语言众所周知DPI-C调用外部C程序值需要通过import即可,但是DPI重要的一点是可以调用外部其他语言,最简单的办法就是调用Verilog的$system()任务,如果需要命令的返回值,使用linux的system()函数和WEXITSTATUS任务。调用外部c语言的sv代码:perl_test.svimport &quot;DPI-...

2018-06-04 17:10:23 6863

原创 Debussy5.4免费分享

debussy5.4需要的自行下载,附百度云地址:https://pan.baidu.com/s/1j-4rBOKhegFyr1mKS7HX9g 密码:xz3hPS:好东西一定要分享

2018-05-16 16:00:49 6164 18

原创 “NERD_tree” 设置侧栏窗口

自定义侧栏窗口大小设置window 窗口比例,大小等在~/.vim/bundle/Vundle.vim/autoload/vundle.vim文件中添加如下内容(放在文件尾即可) " NERDTree插件的配置信息 ""将F2设置为开关NERDTree的快捷键 map &lt;f2&gt; :NERDTreeToggle&lt;cr&gt...

2018-04-24 08:47:07 2456

原创 VCS常用命令

VCS 常用编译选项在学习VCS(Verilog Compile Simulator)过程中遇到不知道的编译命令可以使用 vcs -full64 -help 来查看帮助!VCS学习过程中可能需要查看对应的 User Guide,一般情况下在vcs的安装目录下的doc文件夹里面会有PDF文件,或者查看这里。VCS就是编译用户输入的源文件生成对应的可执行文件(默认是二进制的simv文件),在...

2018-04-02 15:17:11 17949 2

原创 source script_file 与 sh script_file及./script_file执行脚本的区别

当shell脚本具有可执行权限时,用sh script_file与./script_file执行脚本是没有区别的。./script_file是因为当前目录没有在PATH中,所有”.”是用来表示当前目录。sh script_file:会重新建立一个子shell,在子shell中执行脚本里面的语句,该子shell继承父shell的环境变量,但子shell新建的、改变的变量不会被带回父shell,除...

2018-03-16 10:56:27 489

原创 sed 修改文本

在脚本或命令行中使用sed真正要做的是修改或删除文件或字符串中文本。处理控制字符cat quote.txt | sed 's/\ //g' | sed 's/The/&amp; "insert" /g'处理报文使用s/-*//g删除横线-------使用/^$/d删除空行使用$d删除最后一行使用1d删除第一行使用awk '{print $1}'打印第一列cat ...

2018-02-18 13:03:09 329

原创 sed命令基本操作

sed命令sed是一个非交互式文本编辑器。它编辑文件或者从标准输入导出的文本拷贝。调用sed不管是使用shell命令行方式或脚本文件方式,如果没有指定输入文件, sed从标准输入中接受输入,一般是键盘或重定向结果。方式1命令行格式:实际命令用单引号(‘’)或者双引号(“”)引起来 sed [option] command input-files方式2使用s...

2018-02-17 15:12:16 467

原创 awk内置函数

内置字符串函数gsub函数替换字符串,使用正则表达式:/目标模式/,替换模式。awk 'gsub(/4842/,8888) {print $0}' grade.txtindex函数查询字符串 s 中 t 出现的第一位置。必须用双引号将字符串括起来。例如返回目标字符串Bunny中ny出现的第一位置。awk 'BEGIN {print index("Bunn...

2018-02-16 19:34:02 288

原创 awk命令

AWK: linux中的AK47,突突突~言归正传,awk命令的最基本功能是在文件或字符串中基于指定规则浏览和抽取信息。awk抽取信息后,才能进行其他文本操作。几乎所有包含awk命令的脚本都结合了sed和grep来对文本进行处理。几种命令格式1、命令行格式:awk [-F field-separator] ‘command’ input-file(s)[-F 域分隔...

2018-02-15 23:30:52 333

原创 grep命令

grep命令语法格式: grep [option] regular express [file]这里基本正则表达式可以为字符串。字符串用双引号,这是防止被shell误认为是其他命令;正则表达式用单引号括起来。option选项:-c 只输出匹配行的计数-i 不区分大小写(只适用于单字符)-h 查询多文件时不显示文件名-l 查询多文件时只输出包含匹配字符的文件名-n 显...

2018-02-14 21:00:45 288 1

原创 linux下创建文件的几种方式

创建文件的几种方式:学习linux时发现几种有趣的创建文件方式,各有不同,interesting~touch filename : 直接创建新的空文件,需要编辑器打开编辑;vi/vim filename : 创建新文件并且使用编辑器进入该文件进行编辑,与touch命令不同的是,如果未编辑该文件就退出,那么该文件不会被保存(换句话说,新文件为空时不保存新建的文件);cat &gt...

2018-02-14 17:39:30 4990

原创 使用 find 和 xargs

Find命令的一般形式:find pathname -options [-print] [-exec] [-ok]pathname find命令所查找的目录路径-print find命令将匹配的文件输出到标准输出-exec find命令对匹配的文件执行该参数所给出的shell命令。相应命令的形式为‘command’ {} \;,注意{}和\;之间的空格-ok 和-exec的作...

2018-02-14 14:47:46 332

原创 linux文件类型及修改文件权限

“linux的宗旨是:一切皆文件~”1、文件类型共七种:d 目录l 符号链接(软硬连接)s 套接字文件b 块设备文件c 字符设备文件p 命名管道文件- 普通文件,或者更准确的说,不属于以上几种类型的文件2、 文件权限设置chmod [who] operator [permission] filename who的含...

2018-02-13 21:23:49 7842

翻译 linux下“3>&1 1>&2 2>&3” 在脚本中的含义

这是交换stdout和stderr&1你的命令行会创建一个新的文件描述符,并重定向它1是STDOUT。现在1>&2将重定向文件描述符1,STDERR并将2>&3文件描述符2重定向到3 STDOUT。所以基本上你交换STDOUT和STDERR这些步骤如下:创建一个新的fd 3并将其指向fd 1将文件描述符1重定向到文件描述符2.如果我们不会在3中保存文件描述符,我们将失去目标。将文

2018-01-10 18:24:18 2549

原创 vim使用过程中的常用操作

修改、插入文本

2017-12-25 23:02:14 281

原创 linux之统计文件特定字符串

可以使用以下命令来统计文件特定字符串数量

2017-12-21 22:01:15 250

原创 linux查看文件

linux查看文件命令有:vi,vim,head,tail,less,cat 等

2017-12-21 21:48:58 241

原创 dc文件转换.lib->.db

lib文件->db文件

2017-12-21 21:25:44 9791 2

原创 linux后台运行相关命令

nohup,ps , kill , jobs , fg , bg

2017-12-21 21:16:58 1089

原创 linux(vim recording使用)

vim下录制宏,命令行显示recording

2017-11-30 17:44:59 18731

原创 linux(vim跳行)

linux/unix下gvim使用之跳行

2017-11-30 09:21:12 19008

原创 DC脚本命令(set_optimize_register)

set_optimize_register

2017-11-27 20:47:01 3954

原创 DC 编译命令

compile_ultra

2017-11-26 18:16:50 8318

原创 Linux命令(一)

linux基础命令

2017-11-19 23:32:36 374

SystemVerilog 1800-2012 IEEE标准

SystemVerilog 1800-2012 IEEE标准,对验证人员有极大的帮助。

2019-04-11

UVM Class Reference Manual 1.2

对UVM-1.2标准的参考手册,在阅读uvm-1.2源码源码时结合起来看更便于理解

2019-04-11

debussy5.4 tutorial

Debussy User’s Guide and Tutorial.This manual supports Debussy 5.4 and higher versions.

2018-05-16

VCS编译选项

vcs 编译选项txt文档,vcs script version : L-2016.06

2018-03-30

LINUX与UNIX Shell

PDF文档:LINUX与UNIX Shell编程指南,详细介绍linux下shell命令

2017-12-13

UVM Standard

IEEE Standard for Universal Verification Methodology Language Reference Manual

2017-11-19

自己动手写cpu

自己动手写CPU 雷思磊 著 电子工业出版社 verilog HDL设计实现的兼容MIPS32的指令集架构处理器Open-MIPS

2017-11-19

Design compiler,Physical Compiler,Prime Time

Advanced ASIC Chip Design compiler,Physical Compiler,Prime Time Second Edition

2017-11-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除