自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

菜头

生活没有压力 只有选择

  • 博客(322)
  • 资源 (33)
  • 收藏
  • 关注

原创 gradle之gradlew最全指令攻略

Gradle是一个构建工具,它是用来帮助我们构建app的,构建包括编译、打包等过程。我们可以为Gradle指定构建规则,然后它就会根据我们的“命令”自动为我们构建app。Android Studio中默认就使用Gradle来完成应用的构建,除此之外我们可以用gradle的指令选择性的去构建我们所需要的app。用gradle的指令构建app,需要用到gradlew(即gradle wrapper的简写),本文对gradlew的常用指令做一个总结。gradlew与gradlew.bat: gradlew为Li

2021-09-02 10:12:43 9687

原创 SKF密码设备研究

密码设备mToken GM3000 国密身份认证锁是龙脉科技自主研发设计支持国密算法、完全遵照国家密码管理局颁布的《智能IC卡及智能密码钥匙密码应用接口规范》要求设计的USB Key,采用国产高性能智能卡芯片,内置SSF33、SM1、SM2、SM3、SM4等国产算法,支持高速数据国密算法加解密,提供CSP以及PKCS11和国密接口,完全符合国家密码管理局关于“密钥不落地”的技术规范要求,是电子政务、电子军务、CA厂商首选的USB Key产品。适用范围:主要是用作基于公钥体系PKI的数字证书和私钥的安全载

2021-07-15 14:04:31 2978

原创 编译Android版本TensorFlow

在Ubuntu 18.04 LTS 下编译Tensorflow的Android库的步骤:安装Android Studio/Android sdk安装Android NDK(Android NDK可以单独安装也可以通过Android Studio的SDK插件安装, 怎么安装略)安装 能够编译Tensorflow的对应版本的Bazel, TensorFlow和 Bazel 有对应关系的(我也不知道具体的对应关系,早先tensorflow版本无提示,后来版本的tensorflow有不提示),我使用的是 t

2020-05-28 13:54:03 1516

原创 虚拟机Ubuntu18.04 root下 连接 windows 中 winScp

Windows 下通过 WinSCP 和 Ubuntu 18.04 连接传输文件基本原理是Windows下使用 ssh/scp 协议客户端软件WinSCP, Linux/Ubuntu 系统开启ssh/scp协议server服务.先查看自己Linux/Ubuntu中是否有 ssh服务如果没有的话先安装apt-get install openssh-server安装完之后 先手动开启一下服...

2020-04-24 09:45:50 397

原创 Ubuntu 18.04 LTS环境下 MNN 的编译与使用

环境 Ubuntu 18.04 LTS1.安装 gccsudo apt install build-essentialgcc --version安装protobuf(3.0以上) (Protocol Buffers - Google’s data interchange format)#安装依赖工具sudo apt-get install autoconf automake lib...

2020-04-23 17:16:52 1885

原创 pthread 线程退出时自动释放资源

线程退出时自动释放资源今天碰到一个问题:主线程pthread_create一个子线程A,子线程pthread_mutex_lock,然后调用其他的函数fun,最后从fun返回后再pthread_mutex_unlock.但是如果在fun中调用了pthread_exit异常退出,那么岂不是没释放锁就退出了,这肯定会引起死锁.解决办法一:在fun中调用pthread_exit之前都先调用pth...

2019-12-09 17:27:50 1217

转载 Heap与Stack的区别- -

一、预备知识—程序的内存分配一个由c/C++编译的程序占用的内存分为以下几个部分1、栈区(stack)— 由编译器自动分配释放 ,存放函数的参数值,局部变量的值等。其操作方式类似于数据结构中的栈。2、堆区(heap) — 一般由程序员分配释放, 若程序员不释放,程序结束时可能由OS回收 。注意它与数据结构中的堆是两回事,分配方式倒是类似于链表,呵呵。3、全局区(静态区)(static)—,...

2019-12-03 15:08:42 340

原创 Application.mk用法详解

Application.mk文件定义要编译的多个变量的GNU Makefile片段,位于$PROJECT/jni/目录下,$PROJECT是项目目录,另一种方式放到$NDK/apps/目录的子目录下($NDK/apps/<myapp>/Application.mk); Application.mk枚举并描述你的应用需要的模块,这些信息包括:用于针对特定平台进行编译的ABI、...

2019-11-29 10:36:01 1546

原创 Android.mk用法详解

Android.mk是Android提供的一个makefile文件,可以将源文件分组为模块。用来引用的头文件目录、需要编译的*.c/*.cpp文件、jni源文件、指定编译生成*.so共享库文件或者*.a静态库文件,可以定义一个或多个模块,也可以多个模块中使用同一个源文件; Android.mk文档: 1. Android.mk的官方文档:https://developer.an...

2019-11-29 10:31:30 861

原创 解决GetManifestResourceNames()无法读取资源文件

//读取资源文件中的图片并输出流,String resource是资源文件名; public static Image GetImage(string name) { name = "Spymaster.Resources." + name; // "Spymaster.Resources.resources.mainboard.png...

2019-03-25 12:16:46 977

原创 C++之RAII机制

1.什么是RAII?RAII(Resource Acquisition Is Initialization)机制是Bjarne Stroustrup首先提出的,也称直译为“资源获取就是初始化”,是C++语言的一种管理资源、避免泄漏的机制。 C++标准保证任何情况下,已构造的对象最终会销毁,即它的析构函数最终会被调用。 RAII 机制就是利用了C++的上述特性,在需要获取使用资源RES的...

2018-04-02 08:52:43 1790

原创 ByteOrder 大小端字节翻转

//// ByteOrder.h//// Library: Engine// Package: Core// Module: ByteOrder////#ifndef WISHBONE_BYTEORDER_H_#define WISHBONE_BYTEORDER_H_#include "Wishbone/Foundation.h"#include "Wishbone...

2018-03-31 15:47:57 1148

原创 C++ 字节顺序

//// ByteOrder.h//// Library: Engine// Package: Core// Module: ByteOrder////#ifndef WISHBONE_BYTEORDER_H_#define WISHBONE_BYTEORDER_H_#include "Wishbone/Foundation.h"#include "Wishbone...

2018-03-30 08:43:01 1099

转载 开源EDA工具

1.来自kakuyou http://www.icarus.com/eda/verilog/ 开源的verilog 编译器,包含模拟器和基本逻辑综合模块。http://www.geocities.com/SiliconValley/Campus/3216/GTKWave/gtkwave-win32.html windows版的gtk-wave,一个图形波形察看工具http://embedded

2017-09-21 10:21:41 5804

转载 数字IC设计经典书籍

1 《Verilog HDL高级数字设计》 中文版和原著。这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高。书中例子及其丰富,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器,其中一章讲一个简单RISC的部分尤其值得仔细揣摩,可以令大家受益匪浅。书中很好的贯穿了code风格与综合电路的关系,以及状态机的写法。看完此书你会发现,

2017-09-20 11:32:49 12658 1

转载 verilog中的综合与不可综合

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,n

2017-08-17 15:18:24 1228

原创 Perl split字符串分割函数用法指南

本文和大家重点讨论一下Perl split函数的用法,Perl中的一个非常有用的函数是Perl split函数-把字符串进行分割并把分割后的结果放入数组中。

2017-08-09 20:35:13 36608

原创 好用的Perl包 Class::Ref

包的链接 https://metacpan.org/pod/Class::Ref网页上介绍是 Class::Ref - Automatic OO wrapping of container references 就是将一个哈希、数组引用到一个 package 中来使用。下面给出一个例子:#!/usr/bin/perluse FindBin qw($RealBin);use lib "$RealB

2017-08-05 00:44:00 666

转载 计算机原码、反码、补码详解

标签: 计算机原码反码补码大数溢出 2016-04-29 12:33 4190人阅读 评论(1) 收藏 举报 分类: 计算机基础 目录(?)[+] 注:之前查找了关于原码、反码、补码的相关资料,张子秋的博客:原码, 反码, 补码 详解讲的比较透彻。为了方便,现将其转载至此,版权归原作者所有。更加深入的分析,可以参考作者的原文。本文大部分内容来源于此。后面有小部分关于“大数溢出”的问题为本人

2017-07-30 12:20:32 1269

原创 WDF驱动中处理消息中断(MSI)

I have been struggling with something similar in the last week. My application is slightly different because I am trying to get plain MSI interrupts rather than MSI-X interrupts to work. But I am using

2017-07-18 21:43:28 3679 2

原创 解决Win10下_findnext()异常

在win10中,使用文件遍历函数_findnext会报0xC0000005错误 原因: _findnext()第一个参数”路径句柄”,返回的类型为intptr_t(long long),如果定义为long,在win7中是没有问题,但是在win10中就要改为long long或者intptr_t下面是示例代码:/* Get all files in a folder specified by

2017-06-25 14:39:09 6846 23

原创 Image processing on FPGA using Verilog HDL

This project is aimed to show details how to process an image on FPGA using Verilog from reading a bitmap image (.bmp), processing and writing the processed result to an output bitmap image. The Verilo

2017-05-13 18:24:06 1661 1

转载 奇异递归模板模式(Curiously Recurring Template Pattern,CRTP)

The Curiously Recurring Template Pattern (CRTP) is a C++ idiom whose name was coined by James Coplien in 1995, in early C++ template code.The “C” in CRTP made it travel the years in the C++ community b

2017-05-13 10:17:42 913

转载 开源指令集RISC-V

作者:知乎用户 链接:https://www.zhihu.com/question/28368960/answer/63275513 来源:知乎 著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。现在已经有不少关于RISC-V的项目了玛德拉斯印度理工学院(IIT Madras)6发展了从微控制器到服务器/HPC级处理器的全系列处理器。该项目始于IBM的Power ISA,但是

2017-04-23 14:32:39 9427

原创 认识FPGA触发器的亚稳态

边沿型触发器的输出有两个稳定状态: 高电平或者低电平。为保证可靠操作, 必须要满足触发器的时序要求,也就是我们熟知的建立时间和保持时间。如果输入信号违反了触发器的时序要求, 那么触发器的输出信号就有可能会出现非法状态—亚稳态。亚稳态是一种不稳定状态,在一定时间后, 最终返回到两个稳定状态之一。亚稳态输出的信号是什么样子的? 对于系统有什么危害? 如果降低亚稳态带来的危害? 这是下面要探讨的问题。

2017-04-13 00:19:14 1422

转载 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记

对Verilog 初学者比较有用的整理(转自它处)作者: Ian11122840 时间: 2010-9-27 09:04

2017-03-18 11:05:49 4223

转载 ASIC开发设计流程

ASIC开发设计流程 1. 使用语言:VHDL/verilog HDL 2. 各阶段典型软件介绍: a) 输入工具: Summit Summit 公司 b) 仿真工具: VCS, VSS Synopsys 公司 c) 综合器: DesignCompile, BC Compile Synopsys 公司 d) 布局布线工具: Dracula, Diva Cadence 公司 e) 静

2017-03-11 22:02:28 12497

原创 OpenCL列举平台列表以及平台属性信息

摘自《OpenCL异构并行计算原理、机制与优化实践》// Platform.cpp : Defines the entry point for the console application.//#include "stdafx.h"#include <CL/cl.h>#include <stdio.h>#include <string.h>#include <malloc.h>int m

2017-01-05 22:00:43 1948

转载 深度学习

11 月深度学习班第一周 夯实DL必备基础 第1课 夯实深度学习数据基础 1. 必要的微积分、概率统计基础 2. 必要的矩阵、凸优化基础 3. 动手:numpy与高效计算 第2课 从线性分类器到人工神经网络 1. softmax、linearSVM线性分类器与损失函数 2. BP算法与随机梯度下降 3. 案例:使用神经网络完成数据非线性切分第二周 掌握CNN与DL主流框架 第3课

2017-01-05 21:57:19 593

原创 Intel CPU集成显卡被UEFI BIOS禁用想开启的设置

开机的时候按进入BIOS的快捷键(不同的BIOS快捷键不同,一般是F2/DEL等)进入UEFI BIOS, 进入 Advanced\System Agent(SA) Configuration\Graphics Configuration,下面显示有【初始化IGPU 】, 将其选择为【开启】即可。如下图所示: 图

2016-12-28 22:21:51 25438

转载 人脸识别数据库

作者:许小平 链接:https://www.zhihu.com/question/33505655/answer/67492825 来源:知乎 著作权归作者所有,转载请联系作者获得授权。用CNN train model 数据很重要很重要很重要,我就来分享一些公开的数据。 1. 李子青组的 CASIA-WebFace(50万,1万个人). 需申请.Center for Biometrics a

2016-12-26 21:09:08 5140

原创 VS2015 + CUDA 8.0 配置GTX1070的OpenCL 开发环境

一、查看计算机对OpenCL异构计算的支持情况使用 GPU Caps Viewer 查看计算机对OpenCL的支持情况,目前最新的版本是 gpu-caps-viewer-1-32-0, 下载地址:http://www.geeks3d.com/20161107/gpu-caps-viewer-1-32-0-released/ 从上面两个图可以看到目前的计算机上有2个OpenCL platform,

2016-12-20 22:11:11 5139 1

原创 VS2015编译boost 1.62.0

参考链接: http://blog.chinaunix.net/uid-22301538-id-3158997.htmlD:\boost_1_62_0>bjam –toolset=msvc-14.0 –prefix=D:/boost_1_62_0/output –without-python –build-type=complete link=shared threading=multi ins

2016-12-08 22:36:37 1001

原创 正则表达式练习笔记

下面的内容是一个 data1.txt 文本内容,里面记录了一些正则表达式的笔记long long ago there is girl, she's name is little redhat..long_long_long#long;long:longThis is a test txt...my phone number is 18621735531There are a lot of g

2016-09-26 21:14:46 514

转载 Ubuntu 14.04 LTS 下升级 gcc 到 gcc-4.9、gcc-5 版本

转载: http://www.cnblogs.com/BlackStorm/p/5183490.htmlUbuntu 14.04 LTS 下升级 gcc 到 gcc-4.9、gcc-5 版本 如果没记错的话,阿里云ECS上的Ubuntu也是LTS版本。如果还在使用较旧版本的Ubuntu,或者是Ubuntu LTS,那么我们是很难体验新版gcc的。怎么办呢?我们或许可以自己去编译用旧版本的gcc去编

2016-09-11 09:50:53 778

转载 a.out、coff、elf三种文件格式

转自:http://blog.chinaunix.net/uid-11469366-id-1747286.html补充:a.out早期并不是elf格式的,而是unix下另一种可执行格式,新的a.out是本文讨论了 UNIX/LINUX 平台下三种主要的可执行文件格式:a.out(assembler and link editor output 汇编器和链接编辑器的输出)、COFF(Common Ob

2016-09-09 22:31:35 2953

转载 现代C++函数式编程

链接 http://geek.csdn.net/news/detail/96636概述 函数式编程是一种编程范式,它有下面的一些特征:函数是一等公民,可以像数据一样传来传去。 高阶函数 递归 pipeline 惰性求值 柯里化 偏应用函数 C++98/03中的函数对象,和C++11中的Lambda表达式、std::function和std::bind让C++的函数式编程变得容易。我们

2016-09-04 21:14:29 866

原创 387. First Unique Character in a String QuestionEditorial Solution

Given a string, find the first non-repeating character in it and return it’s index. If it doesn’t exist, return -1.Examples:s = “leetcode” return 0.s = “loveleetcode”, return 2. Note: You may assume

2016-08-29 23:48:10 575

原创 使用有限状态机(FSM)解释shell 命令

一、有限状态机(Finite State Machine,FSM)是表示有限个状态及在这些状态之间的转移和动作等行为的数学模型,在计算机领域有着广泛的应用。FSM一个常见的应用就是用来负责Parser复杂的数据结构,比如解释URI协议(uri-rfc239、uri-rfc3986)(注释:统一资源标识符(Uniform Resource Identifier,或URI)),在这里以Linux下she

2016-08-19 00:03:26 1605

转载 SCSI、FC、iSCSI三大协议概述

一、SCSI SCSI是小型计算机系统接口(Small Computer System Interface)的简称,于1979首次提出,是为小型机研制的一种接口技术,现在已完全普及到了小型机,高低端服务器以及普通PC上。SCSI可以划分为SCSI-1、SCSI-2、SCSI-3,最新的为SCSI-3,也是目前应用最广泛的SCSI版本。 1、SCSI-1:1979年提出,支持同步和异步SCSI外围

2016-08-07 18:06:44 20653 4

C/C++模糊控制

C/C++模糊控制接口文件,在VS2010+MFC环境测试可用

2013-01-02

桌面宠物猫咪

桌面宠物猫fafa

2012-12-18

skiplist 跳表C++实现

skiplist 跳表C++实现,资料参考 en.wikipedia.org/wiki/Skip_list

2012-10-08

两个C++毫秒级定时类

两个C++毫秒级定时类

2012-09-26

SSE指令集测试程序

SSE指令集的介绍网上一大堆, 这里贴一个用VS2008环境下的SSE测试程序, 分别用C++代码, C++内联汇编, C++的SSE Intrinsics三种方式计算卷积的程序...这是一个win32控制台程序.....

2012-09-26

KeyHook钩子

DLl文件封装的低级键盘钩子.

2012-09-14

C++加密工具

Rijndael加密算法, SHA-256.

2012-09-12

myBase个人资料管理软件

个人信息管理软件

2012-09-04

源代码行数统计工具

源代码行数统计工具。

2012-08-02

MFC可以编辑的标签控件CStatic

对前面的改进了,可以编辑的标签控件CStatic,可以使编辑框,组合框,也可以是一个CDateTimeCtrl用来选择时间

2012-07-24

MFC略缩图控件

MFC略缩图控件,列表框自绘,VS2008+sp1编译。

2012-04-23

label CStatic

这是一个可以编辑的CStatic控件

2012-03-30

cadlib2.1的二次开发

dxf文件读取

2012-03-14

dxf文件读取

dxf文件读取

2012-03-14

cadlib2.1二次开发读取dxf文件,显示图形与数据

cadlib2.1二次开发读取dxf文件,显示图形与数据

2012-03-14

cadlib 2.1的二次开发读取DXF的小软件,带源码

cadlib 2.1的二次开发读取DXF的小软件,带源码,介绍见这儿

2012-03-14

ezVidCap.ocx控件,用于VB的视频

ezVidCap VB 控件,,,,,,,,,,,

2011-07-03

Gauss解线性方程组

本人的MATLAB R2009b作业,Gauss解线性方程组的,有三个算法在这个M文件里面

2010-11-16

VB仿制Windows图画程序

VB仿制Windows图画程序,界面模仿的很好,很想,只是部分功能没有实现,如画曲线,不知道是不是用PolyBezier函数来画,例外就是移动任意形状的图片区域没有实现,但矩形区域移动实现了,可以用鼠标任意拖动图片块,吐血推荐,代码很认真写的,可阅读性强,

2010-11-14

功能强大的图像处理系统

本软件是VB写的图像处理软件,旋转,剪裁等基本操作都包括,例外还有很多滤镜功能实现,值得一看。

2010-04-25

OpenCV kmeans

OpenCV kmeans demos

2015-03-30

stream encryption

C++实现的简单的流加密算法。

2014-07-27

SPCE061单片机

SPCE061单片机头文件

2014-04-07

ezui scroll

ezui scroll dialog

2014-03-30

rollupctrl

CRollupCtrl C++ MFC

2014-03-09

MFC 计算器

重绘CMFCPopupMenu、CMFCEditBrowseCtrl 的计算器

2014-01-26

C++ 桌面宠物-金鱼

MFC环境下的桌面宠物,金鱼。。。。。。。。。。。。。。。。。 使用方法: //0,添加left.png,right.png资源文件 //1, 头文件定义变量 GoldFish pet; //2, 在CPP文件创建 // Create a goldfish if (!pet.Create(NULL, NULL, WS_CHILD | WS_VISIBLE, CRect(0,0,0,0), /* CWnd:: */ GetDesktopWindow(), 0x556)) { TRACE0("can not create desktop pet, goldfish."); } pet.UpdateWindow();

2014-01-08

VC++ 透明flash控件

VC++ 透明flash控件。。。。。http://www.codeproject.com/Articles/178940/Enhanced-Transparent-Flash-Control-in-C

2013-12-30

BreadCrumb

VC++ 2010 面包屑Breadcrumb 导航控件

2013-10-10

[Windows.7.设备驱动程序开发].(Windows.7.Device.Driver).Reeves,.

[Windows.7.设备驱动程序开发].(Windows.7.Device.Driver).Reeves,. 文字版本

2013-07-24

使用VS2010编译fismain

使用VS2010编译fismain

2013-01-03

MATLAB模糊控制器(*.fis)C/C++接口文件

/* * 这是修改过后的fis.c模糊推理系统库函数,可以移植C/C++环境使用,在VS2010的MFC环境经过测试 * 1、将fis.c文件拷贝到项目中; * 2、修改VS2010的配置属性,项目->属性->C/C++->预处理器->预处理器定义,添加 * _CRT_SECURE_NO_WARNINGS * 3、在【解决方案资源管理器】中选中fis.c文件,单击右键的属性 * 【配置属性】->【常规】->【项类型】,配置为【C/C++ 标头】 * 4、如果是C语言文件(*.c)使用 * #include "fis.c" * 包含接口库文件; * 5、如果是C++文件,使用 * extern "C"{ * #include "fis.c" * } * 包含库文件。 * 祝你使用愉快! * hemmingway 2013/1/2 */

2013-01-03

C/C++模糊控制实现

这个是用MATLAB辅助设计模糊控制器*.fis,使用C/C++来实现模糊控制的接口文件。 首先,使用MATLAB的模糊控制工具箱设计好模糊控制器,即生成的 .fis 文件。 接下来,把 *.fis文件和这里的fis.c文件拷贝到你的C/C++工程当中。 C文件引用使用: #include "fis.c" C++文件使用: extern "C"{ #include "fis.c" }

2013-01-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除